Apparatus and method for plasma enhanced monolayer processing

An apparatus and method for plasma enhanced monolayer (PEM) processing, wherein excited species from a non-condensable gas plasma are delivered to a substrate surface during the reaction of a chemical precursor with a previously chemisorbed monolayer on the substrate surface; the excited species lower the activation energy of the monolayer formation reaction and also modulate the film properties. In preferred embodiments a process reactor has linear injectors arranged diametrically above a substrate and reactive gases are sequentially injected onto the substrate surface while it is being rotated. The reactor can be operated in pulse precursor and pulsed plasma, constant precursor and constant plasma modes, or a combination thereof.

Skip to: Description  ·  Claims  · Patent History  ·  Patent History
Description
CROSS-REFERENCE TO RELATED APPLICATIONS

[0001] This application is a continuation-in-part of U.S. application Ser. No. 10/019,244 filed on May 20, 2002 which is based on the International Application No. PCT/US 00/17202 filed on Jun. 23, 2000 with priority date of Jun. 24, 1999.

BACKGROUND OF THE INVENTION

[0002] 1. Field of the Invention

[0003] The present invention relates to manufacturing of semiconductor devices, particularly to an apparatus and method for delivery of reactive chemical precursors to the surface of a substrate which is to be treated or coated, e.g., with a synchronized pulsed plasma processing apparatus for processing of thin films on substrates, e.g., in semiconductor device fabrication or in a similar field.

[0004] 2. Description of the Related Art

[0005] Manufacturing of advanced integrated circuits (ICs) in the microelectronic industry is accomplished through numerous and repetitive steps of deposition, patterning, and etching of thin films on the surface of silicon wafers. An extremely complex, monolithic and three-dimensional structure with complex topography, including a variety of thin film materials such as semiconductors, insulators and metals, is generated on the surface of a silicon or gallium arsenide wafer or other appropriate substrate surface in a precisely controlled manner. Processes of deposition and etching involve chemical reactions in which solid material is either added or removed from the substrate, and the activation energy required to affect the desired chemical reactions in a controlled fashion is supplied by various means such as heat, light or electromagnetic excitation, as applied to the gas phase or to the substrate or both, and the processes are commonly known as thermal, optical or plasma processes, respectively. In many instances, the necessary activation energy is supplied by the combination of two means, e.g., heat and plasma.

[0006] Plasma is conveniently generated by applying a time varying electromagnetic field to a gaseous medium, which generates high-energy electrons that collide inelastically with gas molecules and lead to their ionization, fragmentation and dissociation. A chemical reaction invariably involves bond breaking among reactants and bond formation among the products and by-products. Sufficient quantity of activation energy is required to break the bonds within reactant molecules in order for the reaction to proceed at an appreciable rate. The most common source for this activation energy is heat. Plasma assists in reducing the activation energy by partially dissociating or activating the reactant molecules thus reducing the amount of heat energy required for the reaction to take place. For example, in the case of a diatomic gas such as hydrogen, direct electron impact dissociation of an H2 molecule in the plasma leads to a variety of species such as hydrogen ions, H+, excited atomic hydrogen, H*, excited molecular hydrogen, H2*, atomic hydrogen, H, and secondary electrons, e−, in gas phase which can be used effectively in a chemical reaction that involves hydrogen as one of the reactants.

[0007] The preferred process has been chemical vapor deposition (CVD) for conformal deposition of: conductors such as tungsten and tungsten silicide; insulators such as silicon nitride and boro-phospho-silicate glass (BPSG); and several other layers used in the fabrication of integrated circuits. However, in recent years, several factors have emerged in the electronic device fabrication processes that are of great significance—sufficient to warrant a shift towards alternative deposition processes. These factors are: (a) increasing wafer diameter from 200 mm to 300 mm; (b) continuously decreasing critical device dimensions from 0.18 micron to 0.13 micron to 0.10 micron and beyond, resulting in increasing aspect ratio geometries; (c) replacement of aluminum by copper due to its low resistivity and higher electromigration resistance; (d) decreasing gate-oxide thickness and introduction of new gate dielectric materials; and (e) introduction of low-k dielectric materials that are delicate and cannot withstand higher process temperatures. Introduction of copper necessitates its cladding by a conformal diffusion barrier because of the tendency for copper to diffuse into adjacent layers. CVD, though a high rate process, cannot deposit thin films with perfect conformality in high aspect ratio geometries; furthermore, its resolution is too coarse to deposit the extremely thin films needed for barriers, gate dielectrics and various applications. All these factors call for new processing techniques that hereto were not a part of conventional device processing methodology. As a result, a deposition technique, with a sufficiently high deposition rate, for high quality large area thin films with low impurity concentration, high thickness precision and perfect conformality in aggressive device geometries is needed. Atomic Layer Deposition (ALD), a technique that was invented in the mid-1970's (T. Suntola and J. Antson, U.S. Pat. No. 4,058,430, issued in 1977), is the most promising technique that can satisfy these demanding requirements. It is a variant of CVD in which two reactive gases are pulsed in a sequential mode interspersed by an inert gas. A typical ALD process, consisting of four discrete pulses, is based on the phenomenon of chemisorption which is self limiting to a monolayer formation, is independent of the substrate topography and is scalable to any substrate size. The deposition is carried out in a cyclic manner to build the desired film thickness.

[0008] In practice, however, ALD processes have some limitations such as: (1) reactants must be highly chemical reactive towards each other; (2) at least one reactant needs to effectively chemisorb on the substrate surface; (3) thermal activation of the reactants may lead to unacceptably high process temperatures; and (4) low-speed of ALD as compared with CVD.

[0009] Low temperature processes may be achieved by employing atomic species in lieu of molecular species with partially broken bonds in the gas phase. J. Sumakeris et al., in Thin Solid Films, vol. 225, page 244-249 (1993), described an atomic layer epitaxial process of Gallium Nitride on Silicon Carbide with the use of hot filament to decompose Ammonia in order to obtain lower process temperatures in the range of 250-350° C. range. Fujiwara et al in Journal of Applied Physics vol. 74, p. 5510, November 1993, published the results of synthesis of ZnSxSe1-x by employing atomic hydrogen generated by RF plasma and a metallic mesh ion filter. Both deposition processes confirmed the requirement to remove the alkyl ligand from the metal atom prior to the adsorption of the second precursor. S. M. Bedair (Journal of Vacuum Science and Technology B 12(1), p. 179, 1994) described an Atomic Layer Deposition process of silicon using dichlorosilane (SiH2Cl2) with atomic hydrogen generated by a hot-filament method in which the deposition temperature is dropped from 900° C. to 650° C. and in which the surface is terminated with hydrogen at the end of the pulse sequence.

[0010] In order to lower the process temperature and also to accelerate the overall process, Radical Assisted ALD and Plasma Assisted ALD processes were introduced. Markunas et al. (U.S. Pat. No. 5,180,435) described an apparatus and method for a remote plasma enhanced chemical vapor deposition process for growing epitaxial films. In U.S. Pat. No. 5,637,146 granted to Chyi a method and apparatus is described for the growth of nitride-based semiconductors. Aucoin et al (U.S. Pat. No. 5,443,647) described an apparatus and method for plasma chemical vapor deposition. Sneh (U.S. Pat. No. 6,200,893) described the apparatus and process sequence to achieve a variety of radical-assisted chemistries to deposit thin films of metals, oxides and nitrides. Sherman in U.S. Pat. No. 5,916,365 and U.S. Pat. No. 6,342,277 has described an apparatus and method for sequential chemical vapor deposition employing radicals of gases such as hydrogen and oxygen over substrates in a longitudinal path and in free flow regime on a stationary substrate. In the inventions described above that pertain to Radical Assisted ALD processes, the inventors have taken significant effort to eliminate charged species (ions and energetic electrons) from the plasma activated feed gas either singly or by combining several methods e.g., long gas path length, large chamber volume, grounded or biased wire mesh, increase in chamber pressure, etc.

[0011] Lower process temperature, higher deposition speed and lower impurity concentration as compared to continuous flow CVD type processes were obtained by pulsing only RF plasma as a reaction switch. Chiang et al., demonstrated chemical vapor deposition of copper films at room temperature using microwave plasma to generate H atoms that were combined with an organometallic source of copper and published their results in Journal of Vacuum Science & Technology, A, vol. 15 No. 5, p. 2677-2686, September/October 1997. Lee et al., in U.S. Pat. No. 6,539,891, described a plasma enhanced chemical deposition reactor and method for forming a thin film on the substrate by employing an active plasma within the chamber. Chiang et al., in U.S. Pat. No. 6,428,859, described an apparatus and method for Modulated Ion Induced ALD processes for deposition of thin films. The gas flow configuration in this apparatus was a combination of a showerhead flow and a side injection of chemical precursor within the chamber while active plasma was generated behind the showerhead. Nguyen in the U.S. Pat. No. 6,689,220 described the pulsed plasma layer deposition process in which plasma power was primarily used to control the plasma volume (lower power plasma occupied smaller volume than higher power plasma) above the substrate. The precursor flowing through a fixed inlet in the proximity was excited at high plasma power only if the flow was injected into the plasma volume.

[0012] Herein, gases or vapors are defined according to their mode of interaction with plasma or a high-energy electromagnetic excitation. A non-condensable gas or vapor is defined as a gas or vapor that does not decompose in to one or more gaseous components and a solid residue and/or it is a gas or vapor that does not react vigorously and destructively with the material of construction of the plasma cavity or enclosure when subjected to an external excitation such as plasma or high-energy electromagnetic radiation. Examples of non-condensable gases are, but not limited to: hydrogen, helium, argon, xenon, oxygen, nitrogen, ammonia etc. Whereas, condensable gases or vapors are ones that do not satisfy the criteria described above. Examples of condensable gases are, but not limited to: chlorine, CFCs, methane, hydrogen sulfide, hydrogen selenide, arsine, phosphine, silane, silicon tetrachloride, diborane, tungsten hexafluoride, hydrogen chloride, carbon tetra-fluoride, and nitrogen tri-fluoride etc. Furthermore, condensable gases or vapors along with non-condensable gases or vapors are together defined as chemical precursors.

[0013] Thus, ions and energetic species of inert gases, condensable and/or non-condensable gases and/or reactive species of suitable chemical precursors from the plasma can be suitably employed to generate the desired species on the surface of deposition. Moreover, convective transport of gaseous species to the substrate surface in an ALD process is highly desirable to enhance the overall process efficiency and may lead to substantial enhancement of the chemical utilization efficiency. Such factors are of significant value towards increased operating benefit and decreased cleaning and abatement of the effluents. Although, in principle, the technique of ALD offers a variety of advantages over the industry prevalent techniques such as CVD and PVD, at present, it is being accepted in the semiconductor industry for only a limited number of process applications. The reasons behind the limited applications of ALD are: (a) sluggishness of typical ALD processes in the currently available apparatus, and (b) chemical processes with high reaction temperatures which can be detrimental to a variety of materials such as low-k dielectrics. A typical commercially available ALD apparatus completes one cycle in several seconds. This translates into a deposition rate of a few tens of Angstroms (a few nm) per minute. Moreover, a typical ALD apparatus cannot be used as a CVD apparatus and vice-a-versa—this necessitates separate reactor systems for thick film applications.

[0014] What is needed is an apparatus and method that could efficiently generate reactive species (ions and excited atoms, excited molecules and radicals) from a variety of chemical species, condensable and non-condensable, and mixtures thereof, in the gas phase at sufficiently high concentration to realize a wide range of chemistries in the smallest volume. Further, what is needed is to employ the shortest viable path lengths in order to achieve the final goal of high-speed Plasma Enhanced Monolayer processing with enhanced flexibility. Furthermore, the following drawbacks of the existing ALD and CVD apparatus and techniques need to be eliminated:

[0015] (a) Unstable fluid flow above the substrate and within the reactor;

[0016] (b) Depletion of reactive gas or vapor over the substrate surface which makes it impossible to achieve full surface coverage in the shortest time frame;

[0017] (c) Inadequate separation of highly reactive gases in operational space;

[0018] (d) Improper construction materials for the reaction vessel, which lead to contamination;

[0019] (e) Non-optimized path length of reactive gases within the apparatus;

[0020] (f) Non-optimized internal volume with inadequate pumping speed leading to longer residence time which results in slow ALD cycle time;

[0021] (g) Absence of reactors configured for maintenance and service in the field;

[0022] (h) Insufficient reproducibility and repeatability of the processes.

SUMMARY OF THE INVENTION

[0023] The present invention provides an apparatus and method for plasma enhanced monolayer (PEM) processing. The plasma effectively lowers the activation energy of the desired chemical reaction on the substrate surface between a chemisorbed monolayer and a second chemical precursor. The method for plasma enhanced monolayer deposition on a substrate comprises: chemisorption of a gaseous first chemical precursor on the surface of the substrate; reaction of a gaseous second chemical precursor with the chemisorbed first chemical precursor; and simultaneously with the reaction step, providing reactive species of non-condensable gas plasma to the substrate surface; wherein the first chemical precursor and the second chemical precursor are provided through injectors positioned proximate to the surface of the substrate.

[0024] The present invention provides an apparatus for plasma enhanced monolayer processing with at least two injectors positioned proximate to the substrate surface. A plasma generator is contained at least partially within the reaction chamber, so as to allow for the generation of plasma species and their delivery to the substrate surface. In preferred embodiments: the injectors and the substrate are moved relative to each other to facilitate gas delivery to the substrate surface; and the injectors are linear injectors positioned diametrically above a substrate holding platen, the platen being generally circular.

[0025] The apparatus and method of the invention: efficiently delivers process fluids, such as plasma excited gaseous species and gaseous chemical precursors, to a substrate surface; ensures rapid completion of the processing cycle without depletion of reactive gas or vapor over the substrate surface; and ensures effective and efficient separation of highly reactive gases prior to delivery to the substrate surface. The efficient delivery relies on a small reaction chamber volume and short path length of chemicals between the injectors and the substrate.

BRIEF DESCRIPTION OF THE DRAWINGS

[0026] FIG. 1 is a schematic vertical cross section of the Plasma Enhanced Monolayer (PEM) deposition system with two parallel diagonally arranged linear injectors connected to a plasma source each.

[0027] FIG. 2 is a schematic vertical cross section of the PEM deposition system with two parallel diagonally arranged linear injectors and two semicircular RF electrodes mounted in the lid assembly connected to a plasma power source.

[0028] FIG. 3 is a schematic vertical cross section of the PEM deposition system with three parallel diagonally arranged linear injectors with one injector connected to a plasma source.

[0029] FIG. 4 is a schematic horizontal cross section of the PEM in FIG. 2, showing the linear injectors and RF plasma electrodes connected to a plasma source.

[0030] FIG. 5A is a vertical cross sectional view of a linear injector with a center gas inlet, where the cross section is along the length of the linear injector.

[0031] FIG. 5B is a vertical cross sectional view of a linear injector with a gas inlet at one end, where the cross section is along the length of the linear injector.

[0032] FIGS. 6A-6C are vertical cross-sectional views across the width of the linear injectors, illustrating three geometrical configurations.

[0033] FIG. 7A is a schematic vertical cross section of a linear injector with external RF electrodes connected to an impedance match network and a plasma generator.

[0034] FIG. 7B is a schematic vertical cross section of a linear injector with internal RF electrodes connected to an impedance match network and a plasma generator.

[0035] FIG. 7C is a schematic vertical cross section of a linear injector enclosed within a microwave plasma cavity with a tuner and a microwave plasma power source.

[0036] FIG. 7D is a schematic vertical cross section of a linear injector with its inlet enclosed within a microwave plasma cavity with a tuner and a microwave plasma power source.

[0037] FIGS. 8A-8C are bottom views of the linear injectors illustrating three different arrangements of outlet ports.

[0038] FIGS. 9A-9C are examples of flow distribution patterns achievable with the use of the injectors of the invention.

[0039] FIG. 10A is a diagram illustrating a first sequence of operation for a single process cycle in a PEM deposition system with two linear injectors each connected to a plasma source and a second condensable chemical precursor.

[0040] FIG. 10B is a diagram illustrating a second sequence of operation for a single process cycle in a PEM deposition system with two linear injectors each connected to a plasma source and a second non-condensable chemical precursor.

[0041] FIG. 10C is a diagram illustrating a sequence of operation for a single process cycle in a PEM deposition system with two linear injectors and a plasma source connected to two semi-circular RF electrodes.

[0042] FIG. 10D is a diagram illustrating a sequence of operation for a single process cycle in a PEM deposition system with three linear injectors. The third linear injector is connected to a plasma source and a non-condensable chemical precursor.

[0043] FIG. 10E is a diagram illustrating a sequence of operation for a single process cycle in a PEM deposition system with three linear injectors. The third linear injector is connected to a pulse plasma source and a non-condensable chemical precursor.

[0044] FIG. 10F is a diagram illustrating a mode of operation of a PEM deposition system with three linear injectors with a pulsing flow of the precursor followed by a pulse of a non-condensable purge gas in combination with a constant flow of second chemical precursor and a constant non-condensable plasma through the third injector.

[0045] FIG. 10G is a diagram illustrating a mode of operation of a PEM deposition system with three linear injectors with constant flows of the first precursor and the non-condensable purge gas in combination with a constant flow of the second chemical precursor and constant non-condensable plasma through the third injector.

DETAILED DESCRIPTION OF THE INVENTION

[0046] The present invention relates to the apparatus and methods of deposition of various thin films by Plasma Enhanced Monolayer (PEM) deposition processes that exploit a variety of energetic species such as ions, excited atoms, excited molecules, radicals and electrons generated by active plasma. The apparatus is configured to enhance the time required to complete a deposition cycle; furthermore, active plasma is used in various chemical processes to effect deposition at lower temperatures in order to obtain thin films with higher purity and desired electrical, optical, mechanical and structural properties. An apparatus configuration that provides a starting point for the apparatus of this invention is described by P. Gadgil in U.S. patent application Ser. No. 10/019,244 filed May 20, 2002, incorporated by reference herein.

[0047] FIG. 1 is a schematic vertical cross section view of the apparatus with two parallel diametrically-arranged linear injectors each connected to a plasma source. More specifically, the apparatus shown in FIG. 1 comprises a PEM processing reactor, which as a whole is designated by reference numeral 10. The reactor 10 has a substantially circular sealed chamber 12 which operates at low pressure, e.g. of several hundred mtorr, with a co-axially mounted circular platen 14 that can be rotated, e.g., by a motor 16 via a pulley 18 on the output shaft of the motor 16 via a transmission belt 20 and a driven pulley 22 on the end of a platen shaft 24. A stationary heater 26 is provided underneath the platen 14 to heat a rotating substrate 28, supported by platen 14, to a predefined temperature as stipulated by the process. It is specifically noted here that the provision of a substrate heater 26 is desirable as the heat energy supplied imparts mobility to the gas molecules on the surface of the substrate 28 and assists in completing the desired chemical reactions. Moreover, moderate heating of the substrate 28 can assist in desorption and purging of reaction by-products and also can help to complete exchange reactions more effectively. Although the chemical reactions can possibly be carried out without substrate heating, the inventors' intention to include a substrate heater 26 is to obtain the product with higher purity and with lower defect density and overall higher quality. The reactor 10 is provided with a substrate loading-unloading port 29 that forms a part of the reactor wall and allows loading/unloading of the substrates 28 to and from the reactor 10 (FIG. 1). The reactor 10 is also connected to a vacuum pump 30 through a throttle valve 32 and a gate valve (not shown in the diagram), both operated, e.g., by a programmable controller 31. The reactor 10 is equipped with linear injectors 34 and 36 with apertures or slots, which are described in detail later. The injectors are mounted in an enclosure 37 within the reactor cover 38 such that the flow emanating from them impinges directly on the substrate 28 underneath (as indicated by the arrows). FIGS. 1 and 4 show how the linear injectors 34 and 36 can be arranged parallel and proximate to the platen 14. Furthermore, in preferred embodiments the platen 14 is generally circular and the injectors are configured substantially diametrically across the generally circular platen 14.

[0048] Each of the linear injectors 34 and 36 is supplied with an appropriate chemistry, e.g., a first chemical precursor species from a chemical precursor unit 40 (for injector 34) through control valves 42 and 44. Whereas chemical precursor supply unit 46 supplies a second chemical precursor species through control valves 48 and 50 to the injector 36. The linear injectors 34 and 36 are also supplied with a non-condensable gas through a non-condensable gas supply unit 52 through a control valve 54. It should be noted that control valves 44 and 50 are both mounted in close proximity to the linear injectors 34 and 36 (FIG. 1). Furthermore, injector 34 is connected to a radio frequency plasma power source 56 by cable 58 and through an impedance match network 60. The platen shaft 24 is connected to the negative pole of a variable, direct current potential source 62 through an appropriate connection (not shown in the diagram).

[0049] In an alternate embodiment of the invention, (FIG. 2) a chamber 12 with a co-axially mounted circular platen 14 is provided with a stationary heater 26 underneath the platen 14 to heat a rotating substrate 28 supported by platen 14 to a predefined temperature as stipulated by the process. Two linear injectors 34 and 36 are mounted within an enclosure 37 in the cover 38 such that the flow emanating from them impinges directly on the substrate 28 underneath. Two semicircular metal plates (electrodes) 47 and 49 are mounted within the cover 38 in electrical isolation and connected to the RF power plasma source 56 through an impedance matching network 60 and cables 58 and 59. The control computer 31 is employed to sequence operation of valves, platen rotation, pressure and temperature control, among other process parameters. A complete connection set of all the wiring in the control circuitry to and from the control computer 31, which is different from that shown in FIG. 1, is not shown in FIG. 2. The required wiring will be obvious to those skilled in the art.

[0050] In the third embodiment of the invention (FIG. 3) the chamber 12 with a co-axially mounted circular platen 14 is provided with a stationary heater 26 underneath the platen 14 to heat a rotating substrate 28 supported by platen 14 to a predefined temperature as stipulated by the process. Three linear injectors 34, 35 and 36 are mounted within an enclosure 37 in the cover 38 such that the flow emanating from them impinges directly on the substrate 28 underneath. The first chemical precursor unit 40 is connected to the linear injector 34 through a control valve 44; the second chemical precursor unit 46 is connected to the linear injector 36 through a control valve 50 and the non-condensable gas source unit 52 is connected to linear injector 35 through a control valve 54. The linear injector 35 is also supplied with a means to generate plasma, to be described in detail later, e.g. a RF plasma power source 56, a power coupling cable 58 and an impedance matching network 60. The chamber 12 is connected to pump 30 through throttle valve 32 and a gate valve (not shown in the diagram) in order to control the chamber pressure. The control computer 31 is employed to sequence operation of valves, platen rotation, pressure and temperature control among other process parameters. A complete connection set of all the wiring in the control circuitry to and from the control computer 31, which is different from that shown in FIG. 1, is not shown in FIG. 3. The required wiring will be obvious to those skilled in the art.

[0051] FIG. 4 illustrates a schematic horizontal cross sectional view of the PEM chamber cover 38 of FIG. 2, comprising of the linear injectors 34 and 36, and RF plasma electrodes 47 and 49 connected to a plasma power source 56 by cables 58 and 59. The placement of the plasma electrodes relative to the linear injectors 34 and 36 is clearly shown.

[0052] FIG. 5A is a cross sectional view of a linear injector assembly 100 with an inlet 102 located substantially halfway along its length and a longitudinal body 104 closed at both the ends thus forming a linear cavity 106. A plurality of outlet ports 108a, 108b, . . . 108m and 108n, respectively are provided along the length of the injector L in order to provide a desired distribution of the flow of chemistry supplied to the surface of the substrate 28 (see FIGS. 1-3). FIG. 5B is a cross sectional view of the linear injector assembly 200 with an inlet 202 located at one end and with the opposite end closed thus forming a linear cavity 206. A plurality of outlet ports 208a, 208b, . . . 208m and 208n, respectively are provided along the length of the injector L in order to provide a desired distribution pattern of the gas and chemistry flow supplied to the surface of the substrate 28 (FIGS. 1-3). The length L of the injectors should be substantially equal to the diameter of the circular substrate 28 (FIGS. 1-3).

[0053] FIG. 6A is a cross sectional view of the linear injector assembly 300 with an inlet 302, a square cross section cavity 304 and an outlet 306. FIG. 6B is a cross sectional view of the linear injector assembly 400 with an inlet 402, circular cross section cavity 404 and an outlet 406. FIG. 6C is a cross sectional view of the linear injector assembly 500 with an inlet 502, a high aspect ratio cavity 504 and an outlet 506. Although the direction of the outlet ports 306, 406 and 506 of the injector assemblies 300, 400 and 500, respectively, is shown perpendicular to the direction of the inlet ports 302, 402 and 502, respectively, both inlet ports and outlet ports can be arranged parallel to each other, or at an angle, depending on specific design requirements. It is emphasized here that a variety of other cross sections, designs, geometrical shapes and configurations of injectors may be applicable and adaptable and in principle may satisfy the operation of the invention. For example the cross section of the injector may be triangular, polygonal or oblong. Also, the injector may be configured as a ring and multiple injectors may be placed in concentric circles above the platen.

[0054] FIGS. 7A-7D illustrate various configurations to effectively generate plasma within the linear injectors. FIG. 7A is a schematic illustration of the arrangement of the external plasma electrodes 65a and 65b placed around the linear injector assembly 100 and connected to an RF plasma power source 56 through the impedance matching network 60 by a cable 58. FIG. 7B is a schematic illustration of the arrangement of the internal plasma electrodes 67a and 67b placed within the linear injector assembly 100 and connected to an RF plasma power source 56 through the impedance matching network 60 by a cable 58. In this configuration, the plasma electrodes are in physical contact with the gas in the injectors. FIG. 7C illustrates a linear injector assembly enclosed within a microwave cavity 63 that is connected to a tuner 61 which in turn is connected to a microwave source 55. FIG. 7D is a schematic illustration of the microwave source 55 connected to a microwave cavity 73 through a tuner 61 such that only the inlet 102 of the linear injector 100 is enclosed within the microwave cavity 73. An appropriate RF plasma power source e.g., an inductive or capacitive RF plasma generator operating at 13.56 MHz frequency is employed to generate plasma within each injector. Although 13.56 MHz frequency is conveniently available, other suitable excitation frequencies e.g., 40 KHz, 400 KHz, 2.0 MHz may also be used to generate plasma via solenoid coils or two curved plates around the tube or parallel plates within or outside the injector. Also, a microwave plasma power source operating at 2.4 GHz can be effectively employed to generate plasma. Furthermore, the plasma generator power can be pulsed within the duration of a precursor pulse and it can also be pulsed in synchronized fashion with a chemical precursor pulse such that the duty cycle of the plasma power source matches the process cycle. Moreover, the reactor can be operated in a continuous gas or vapor flow and pulsed plasma mode or continuous flow and constant power (CW) plasma mode, and the rate of processing can be modulated. As will be clear from the discussion above, the plasma generator may be either an RF or a microwave generator. Here the term plasma generator is used to refer to the combination of the RF power source, impedance matching network, cable and electrodes; alternatively for microwave excitation it is the combination of the microwave power source, tuner, waveguide and cavity.

[0055] FIG. 8A illustrates the bottom view of a linear injector surface 150 with a plurality of circular shaped outlets 151a, 151b, . . . 151m, 151n employed to deliver the reactive gases to the substrate 28 (see FIGS. 1-3). FIG. 8B shows the bottom view of the injector surface 160 with a plurality of slots 161a, 161b, . . . 161m, 161n. FIG. 8C illustrates the bottom view of a linear injector surface 170 with a plurality of long slots 171a . . . 171n employed to deliver the reactive gases to the substrate 28.

[0056] FIG. 9 illustrates three different profiles of velocity distribution of the gas flow along the length of the injector. It should be noted here, and is clearly understood to an individual reasonably skilled in the art, that various other velocity distribution profiles are achievable with a combination of various injector shapes and sizes and inlet port placements under various operating conditions of inlet flow rate, inlet pressure and inlet placement.

[0057] Operation of the Apparatus of the Invention

[0058] The operation of the invention will now be described with reference to FIGS. 10A, 10B, 10C, 10D, 10E, 10F and 10G. Wherein FIG. 10A is a diagram illustrating the sequence of operation in a single process cycle with a supply of charged species from a non-condensable gas plasma from the first injector and flow of a condensable gas from the second injector.

[0059] The PEM processing reactor 10 of FIGS. 1 through 3 operates on a principle similar to that described earlier in U.S. patent application Ser. No. 10/019,244 to P. Gadgil filed on May 20, 2002, incorporated by reference herein. In these particular embodiments of the invention, a linear injector assembly is composed of injectors 34, (35) and 36 arranged side by side. It is understood that the linear injectors 34, (35) and 36 may represent any linear injector described above with reference to FIGS. 4, 5, 6, 7 and 8, provided the linear injector is diametrically configured with respect to the generally circular platen.

[0060] In order to start the processing of the substrate 28 (see FIGS. 1-3) by treating its surface with gaseous reagents supplied by the chemical precursor supply unit 40, chemical precursor supply unit 46 and the chemical precursor supply unit 52, a substrate loading-unloading port 29 is opened and the substrate 28 is placed onto the platen 14. The substrate 28 is heated by the heater 26 through the body of the platen 14. The working chamber 12 of the apparatus 10 is evacuated by opening the gate valve (not shown in the diagram) and connecting the cavity of the working chamber 12 with a vacuum pump 30 via an adjustable throttle valve 32. All these operations are carried out from the controller 31. The platen 14 with the substrate 28 on it is set into constant rotation from the motor 16 via the pulleys 18 and 22 through the transmission belt 20. To simplify the following discussion, injector 34 is referred to as the “first injector”, injector 36 is referred to as the “second Injector” and injector 35 is referred to as the “third injector”.

[0061] Now specifically referring to FIG. 1, a first chemical precursor is supplied to the first injector 34 from the chemical precursor supply unit 40 by opening control valves 42 and 44 during the time corresponding to a half-rotation of the substrate 28 (FIG. 10A). The precursor covers the entire upper surface of the substrate 28 because the first injector 34 has a substantially diametrical arrangement relative to the circular substrate 28. During the next half-rotation of the substrate 28, the entire surface of the substrate is purged with a non-condensable purge gas supplied to the surface of the substrate 28 from the same injector 34 by first closing control valve 42 and opening control valve 54. This can also be achieved by closing the control valve 42 and maintaining the control valve 54 open throughout both half rotations of the substrate 28. Depending on the process chemistry, the chemical precursor may be exemplified, but not limited by Silane (SiH4), Gallium Chloride (GaCl3), Aluminum Chloride (AlCl3), Titanium Tetrachloride (TiCl4), Tantalum Penta-chloride (TaCl5), Tungsten Hexa-fluoride (WF6) and the purge gas may comprise an inert gas such as Ar, He, N2, etc., or an active gas such as hydrogen, oxygen, or the like. The first half-rotation supply period of the chemical precursor results in chemisorption of the first chemical precursor on the surface of the substrate 28, and the second half-rotation supply period will sweep the excess first chemical precursor from the substrate surface. As a result, a chemisorbed monolayer of the chemical precursor will be formed and it will remain attached to the surface of the substrate 28.

[0062] Residence time of the gas within the PEM reactor is minimized by a combination of active sweep of the substrate surface coupled with the shortest path length of the gas above the surface. Further, PEM reactor volume is minimized and any dead volume is eliminated. Furthermore, the gas flow above the substrate is streamlined by a proper combination of magnitudes and directions of forced convection of gas, pumping speed and pumping direction, and thermal convection. Such an arrangement is essential to eliminate unsteady and turbulent flow patterns within the PEM chamber. All such measures are highly essential to minimize the residence time of deposition gases within the PEM reaction chamber. In order to realize an efficient apparatus operation, residence time of the gas within the chamber must be smaller than the pulse width in a PEM process cycle. This must be achieved, however, without compromising the complete coverage of the substrate surface within a pulse.

[0063] In the third half-rotation (FIG. 10A), a non-condensable gas plasma is generated in the first injector 34. The non-condensable gas is selected from the group comprising of gases such as hydrogen, oxygen, nitrogen, etc. Simultaneously, a second chemical precursor, in the form of a condensable gas (e.g. silane, phosphine, arsine, hydrogen sulfide, hydrogen selenide etc.) is supplied from the second injector 36 onto the previously formed chemisorbed monolayer of the first chemical precursor on the substrate surface. As a result, reactive species formed by the interaction of the non-condensable gas plasma from first injector 34 and the condensable gas from second injector 36 react with the monolayer and form a desired product monolayer coating of the types described in the examples given below.

[0064] In the last, i.e., the fourth half-rotation (FIG. 10A) of the substrate 28, supply of the second chemical precursor is discontinued by closing control valve 48 and the surface 28 is purged with the flow only of non-condensable gas from the second injector 36 by opening the control valves 54 and 50. Subsequently, the next four half-rotation cycles of chemical supply, i.e., a two-revolution process cycle, are initiated and repeated as described above for a desired number of times until a coating of a required thickness is formed.

[0065] What has been described above is a process in which the reactive species from the plasma are supplied in the third half-rotation periods of the working cycle in a continuous mode. A process in which the second precursor is a non-condensable is illustrated in FIG. 10B. The reactive species are generated in a non-condensable second chemical precursor plasma within the second injector 36 during the third half-rotation periods of the working cycle to affect the desired chemical reaction and in the fourth half-rotation periods of the working cycle only a non-condensable gas is pulsed through the second injector 36 (from the supply unit 52) to purge the substrate and clear the reaction by-products.

[0066] In the following example reference is made to FIG. 2 in conjunction with FIG. 10C. FIG. 10C illustrates a process in which a first chemical precursor is supplied through the first injector 34 along with a non-condensable gas (e.g. hydrogen, argon, helium) for the time duration during which the substrate rotates at least half a rotation. During the next half rotation, the first chemical precursor flow is switched off while maintaining the non-condensable gas flow to purge the substrate of the excess first precursor. During both these pulses, the second injector 36 maintains a constant flow of a non-condensable gas. During the third half-rotation, RF power is supplied to the semicircular RF electrodes 47 and 49 (FIG. 4) through cables 58 and 59, respectively, from the plasma power source 56 via an impedance match network 60 to generate plasma in the chamber 12 and simultaneously a second chemical precursor (either condensable or a non-condensable) is injected through the second injector 36. During the fourth half rotation, the plasma power to electrodes 47 and 49 is switched off. The substrate is maintained in constant angular motion during the processing under a constant flow of non-condensable gas through the injectors 34 and 36. Constant flow of a non-condensable gas within the chamber volume helps maintain required constant gas pressure to help strike plasma with the help of semicircular plasma electrodes 47 and 49.

[0067] FIG. 10D illustrates a process that can be performed in the PEM processing reactor 10 with three linear injectors (referring to FIG. 3). During the process cycle, a first chemical precursor is supplied through the first injector 34 during the first half of the substrate rotation. During the second half rotation of the substrate 28, the third injector 35 supplies a non-condensable gas pulse to surface 28 to purge excess first chemical precursors, thus forming a chemisorbed monolayer. In the third half of substrate rotation, the second chemical precursor (either condensable or non-condensable) is pulsed from second injector 36 and simultaneously plasma is generated within third injector 35 to generate reactive species on the substrate surface to react with the monolayer of the first chemical precursor. A constant flow of a non-condensable gas through third injector 35 in the fourth half-rotation sweeps away the reaction by-products and completes one Plasma Enhanced Monolayer (PEM) process cycle.

[0068] In FIG. 10E, a similar PEM process cycle, as described in FIG. 10D in conjunction with FIG. 3, is described in which a pulsed plasma is generated within the third injector 35 during the third half-rotation.

[0069] Referring to FIG. 3 and FIG. 10F, the first chemical precursor is pulsed through the first injector 34 during the first half rotation of the substrate 28. Through the second injector 36, a constant flow of a condensable or non-condensable second chemical precursor is maintained, and simultaneously through the third injector 35, a constant flow of non-condensable gas plasma is maintained through all the four half rotations of the substrate 28.

[0070] Referring to FIG. 10G, in conjunction with the PEM reactor as described in FIG. 3, constant flows of all the entities are maintained throughout the substrate rotation—e.g., a constant flow of the first chemical precursor through the first injector 34, a constant flow of a second chemical precursor (condensable or non-condensable) through the second injector 36 and a constant plasma injection of a non-condensable gas through the third injector 35. Plasma may be generated within the third injector 35 with either a constant power or a pulsed power source.

[0071] It is important to note here that throughout all the process steps, described in detail and illustrated above with the help of FIGS. 10A through 10E, a constant process-specific pre-determined substrate bias is provided by the DC power supply 62, under the control of the control computer 31. Furthermore, in all the process cycles described above, a constant flow of a gas or a chemical precursor can be generated and maintained through the PEM reactor 12 with the help of mass flow controllers that are routinely available from a number of suppliers and are well known to an individual reasonably skilled in the art.

[0072] Modes of the reactor operation with other combinations of the precursor and radical-containing gases are possible. For example, the chemical precursor may be supplied in an intermittent mode such that it covers the substrate surface entirely while the radical-containing gases may be supplied continuously to the substrate rotating at a constant speed. In another embodiment, both the chemical precursor and the radical-containing gases may be supplied in a continuous mode to the substrate rotating at a constant speed.

[0073] The method of the invention based on the use of the apparatus of the invention will now be described with reference to the practical examples given below which are given only for illustrative purposes and should not be construed as limiting the scope of the application of the invention.

EXAMPLE—1

[0074] Deposition of metals at lower temperature: A variety of metal deposition processes can be developed by employing the PEM (Plasma Enhanced Monolayer) process cycle with metal halide as a metal precursor through the first injector and hydrogen plasma through the second injector. Binary metallic hydrides in which metals react with hydrogen are known in the prior art (cf. F. A. Cotton and G. Wilkinson, in Advanced Inorganic Chemistry, ch. 5, 3rd ed., John Wiley, New York, 1972) and metal halides can be conveniently and suitably generated in-situ by heating the respective metals in the presence of hydrogen chloride (HCl) or hydrogen bromide (HBr) gas. Halides such as titanium tetrachloride and tungsten hexafluoride are volatile liquids and gases, respectively, at room temperature and can be transported into the PEM reactor through an injector with relative ease. The two step process sequence can be broadly described as follows:

[0075] Surface+MXn→MXn (adsorbed)

[0076] MXn (adsorbed)+H (plasma)→M+n HX

[0077] Here, M=Al, Ti, Ta, Zr, Nb, Hf, Mo, W, Co, Ni, Cu and X=F, Cl, Br and I. Heating the substrate in the temperature range of 50-300 degree C. is desirable for the various PEM processes. Processes for atomic layer deposition (ALD) of copper are known. For example, Martensson et al. (Chemical Vapor Deposition, vol. 3, p. 45-50, 1997) employed CuCl and H2 in a temperature range of 300-400 degree C. Employing hydrogen plasma in place of H2 should significantly advance the ALD process at lower temperature. Moreover, gettering of undesired elements such as Cl and C with H plasma should be more efficient as compared to molecular H2. Furthermore, due to active plasma species, directionality of metal deposition can be used to obtain super-fill type of deposition, which is highly desirable for seamless gap-fill processes of sub-micron trenches and vias. This aspect of the deposition process can be precisely controlled by plasma power and substrate bias among other operating parameters of the PEM chamber to obtain desired results.

EXAMPLE—2

[0078] Deposition of Metal Oxides: A variety of oxides of metals can be deposited by employing metal halides along with the plasma of hydrogen and oxygen mixture (alternatively water and hydrogen plasma) injected in combination with hydrogen, helium or argon as a non-condensable gas. Reaction of hydroxyl and associated reactive species in the plasma with metal halide monolayer generates metal oxides. The metal halide can be selected with a general formula MXn where, M: Al, Si, In, Sn, Pb, Ba, Sr, Ni, Ti, Ta, Zr, Nb, Hf, Mo, W, Fe, Co, Ni, Co, and Cu and other transition metals. Whereas, X=F, Cl, Br or 1.

EXAMPLE—3

[0079] Deposition of Metal Nitrides: A variety of oxides of corresponding metals can be deposited by employing metal halides through the first injector with hydrogen as a non-condensable gas and ammonia as the second chemical precursor. Alternately, NHx species can be conveniently generated by pulsing N2 in hydrogen plasma. The overall reaction can be described as:

[0080] MXn+Surface→MXn (adsorbed)

[0081] MXn (adsorbed)+NHx+H→MNx+n HX

[0082] Examples of M are, but not limited to, Al, Ti, Ta, Zr, Nb, Hf, Mo, W, Co, Ni, Cu and X is selected from F, Cl, Br or 1.

EXAMPLE—4

[0083] Deposition of Metal Carbides: Metal carbides are deposited by employing hydrogen as a non-condensable gas with methyl halide (CH3X, X=F, Cl, Br and 1) as a carbon precursor and metal halides as the metal precursor in hydrogen plasma. Some examples of metal halides are: SiCl4, TiCl4, WF6, MoF6, TaCl5, ZrCl5 etc. The mechanism of deposition of carbides can be described as:

[0084] MXn+surface→MXn (adsorbed)

[0085] MXn (adsorbed)+CH3X+H→MCy+n HX

EXAMPLE—5

[0086] Deposition of Metal Carbonitrides: Metal carbonitrides with general formula MCxNy are deposited by employing metal halide as a metal source such as TiCl4, WF6, SiCl4 etc., injected as the first chemical precursor in combination with a mixture of gases containing carbon (e.g., CH4) and nitrogen (e.g., NH3) together as the second chemical precursor. Composition of carbon containing gas and nitrogen containing gas is varied independently. Alternately, alkyl amine (general formula R-NH2) can be injected as a second chemical precursor along with hydrogen, a non-condensable gas, to generate plasma either within the injector or within the chamber.

EXAMPLE—6

[0087] Deposition of Metal Borides: Borides are deposited by employing an appropriate metal source such as TiCl4, WF6 etc. and hydrogen plasma, as a non-condensable plasma gas, along with diborane (B2H6) as a boron source.

EXAMPLE—7

[0088] Deposition of Phosphides, Arsenides and Antimonides: Halides of gallium, indium, and aluminum, used as the first chemical precursor. These chemical precursors are combined in hydrogen plasma with second chemical precursor such as phosphine (PH3), arsine (AsH3) or SbCl3 to deposit thin films of various desired compounds. Highly reactive hydrogen plasma species are effectively used to extract Cl and other undesired contaminants in the films due to their excellent scavenging capacity.

EXAMPLE—8

[0089] Deposition of Metal Silicides: metal suicides are deposited by injecting metal halides as the first chemical precursor. Hydrogen is employed as the non-condensable gas for plasma generation. The hydrogen plasma is combined with a second chemical precursor (silicon source in this case) such as silane (SiH4) or mono-chloro-silane (SiH3Cl).

EXAMPLE—9

[0090] Deposition of Metal Chalcogenides (Sulfides, Selenides and Tellurides): metal sulfides are effectively deposited by employing metal halides as a first chemical precursor in combination with hydrogen as the non-condensable gas for plasma generation to be combined with hydrogen sulfide (H2S) or hydrogen selenide (H2Se) as the second chemical precursor.

EXAMPLE—10

[0091] Deposition of ternary and quaternary compounds and alloys: ternary and quaternary compounds are deposited by pulsing a mixture of metal halides as the first chemical precursor to be combined with hydrides of non-metallic components as the non-metal source with hydrogen as a non-condensable plasma gas. For example, in the synthesis of AlxGa(1-x)As thin films, precursors of Al and Ga (such as AlCl3 and GaCl3) are mixed together in a predefined proportion and the mixture is injected into the reactor in the first step through the first injector. Arsine is employed as a second chemical precursor, which is combined with active hydrogen plasma supplied from the third injector.

[0092] Similarly, ternary compounds such as SiCxNy are deposited by employing SiCl4 or SiH2Cl2 as a silicon source. Hydrogen is employed in the plasma as a non-condensable gas and a mixture of CH3Cl and NH3 in a predetermined composition is injected as the second chemical precursor and source of C and N.

[0093] Alloys of metals are deposited by reacting halides of metals as the first chemical precursors in the predetermined composition to be combined with hydrogen as the second chemical precursor and also a non-condensable active plasma gas.

EXAMPLE—11

[0094] Multi-layer laminates: multi-layer laminates such as titanium oxide/silicon oxide/titanium oxide/silicon oxide/ . . . are deposited by alternately injecting titanium halide and silicon halide with H2 as a non-condensable gas through the plasma, and O2 as the second chemical precursor. The thickness of each layer can be independently tailored.

EXAMPLE—12

[0095] Si, Ge, SixGe(1-x) deposition: Pulsed flow of SiCl4 or SiH2Cl2 with hydrogen plasma is used for silicon deposition. Whereas, GeCl4 and H plasma is used for deposition of Germanium. A mixture of SiH4 or SiH2Cl2 as the first chemical precursor and GeCl4 as the second chemical precursor in a predefined proportion with hydrogen plasma is used to deposit SixGe(1-x) alloy. Alternatively, silicon tetrachloride and germane can be employed as sources of silicon and germanium, respectively.

[0096] Thus it has been shown that the present invention provides an apparatus and method for efficient delivery of process fluids, such as plasma generated species and chemical precursors, to a surface to be processed. The aforementioned apparatus and method ensure rapid completion of the processing cycle without depletion of reactive gas or vapor over the substrate surface. The invention provides versatility and improved control of the flow by utilizing linear injectors of various configurations and by operating the apparatus in continuous, pulsed, or combined modes. The method and apparatus of the invention also reduces the consumption of chemicals and accelerates the process due to a reduced volume and shortened path length of chemicals in the delivery system. More importantly, the apparatus ensures effective and efficient separation of highly reactive gases prior to delivery to the target surface in operational space along with uniform surface coverage and the shortest gas residence time in the delivery system.

[0097] In the present invention, the platen 14 is generally circular in shape and it is mounted co-axially within the circular chamber 12 underneath the cover 38 such that the flow from the injectors 34, 35 and 36 impinges substantially on the surface of substrate 28 mounted on the platen 14. It is emphasized here that the substrate 28 can be of any shape and size e.g., polygonal, rectangular or square. Moreover, platen 14 can accommodate multiple substrates that are of the same or different dimensions and shapes.

[0098] With reference to FIGS. 1-3, the direct current potential source 62 is electrically connected to the rotating platen shaft 24 to bias the substrate 28 mounted on the platen 14 with the help of an appropriate rotating electrical seal (not shown in FIGS. 1-3). Various techniques are known and corresponding devices are available which are routinely employed for this purpose. An example of such a rotating electrical contact is a mercury or indium-gallium lined seal that is commonly available. To electrically bias the substrate 28 during processing, it is mounted on an electrically conducting platen 14 for example made of graphite or molybdenum. Also, the platen shaft 24 is made of conducting materials e.g., 316 stainless steel or molybdenum. An Electrostatic Chuck (E-chuck) can also be employed in such a configuration. A person reasonably skilled in the art is knowledgeable regarding such methods and devices.

[0099] The invention has been shown and described with reference to specific embodiments, which should be construed only as examples and do not limit the scope of practical applications of the invention. Therefore any changes and modifications in technological processes, constructions, materials, shapes, and their components are possible, provided these changes and modifications do not depart from the scope of the patent claims. For example, a large variety of chemical processes can be developed by employing the apparatus and methods described above. Also, the process sequence can be suitably modified according to process chemistry and the desired product; however, all such modifications will fall within the scope of the invention. The operation of such a reactor can be modulated over a wide range of process parameters such as pulse widths, pulsing frequency and power of the plasma, plasma pulsing frequency and plasma power duty cycle, flow rates of gases and substrate bias voltage. In addition to deposition, the invention is equally applicable to other broad areas of processing such as etching or removal of material, striping of photoresist, post-etch or post-ash cleaning of residues in the microstructures, removing deposits on the inner surfaces of the processing chamber, and so on. It thus encompasses a broad area of substrate processing and is referred to by the inventor as “Plasma Enhanced Monolayer” (PEM) processing; the processing chamber is termed the PEM reactor. Moreover, it is not restricted to a particular chemical process and a wide range of chemistries can be effectively performed within its scope. Such apparatus and methods of substrate processing are taught in sufficient and enabling detail. The substrate is not necessarily round in shape and may have a square, rectangular, polygonal or any other shape. More than one substrate can be treated simultaneously. Various combinations and arrangements of the linear injectors different from those shown and described are possible. In the case of an injector the injectors are not necessarily cylindrical tubes and may have a conical or any other shape. Furthermore, other injector configurations are equally adaptable, e.g., cross shaped injectors can be effectively employed to perform the PEM cycle. In the case of cross shaped injectors mounted above the platen and along the radius of the platen, only a quarter turn of the substrate should be needed to obtain complete surface coverage of the substrate with gas from the injector. For a set of three injectors symmetrically mounted along the radius of the platen, with 120° angle between them, a third of full rotation is required for substrate coverage. Thus the angle of rotation of the platen will vary accordingly for different configurations of injectors employed, for example cross shaped, concentric rings etc. in a particular PEM reactor.

Claims

1. An apparatus for plasma enhanced monolayer deposition on a substrate, comprising:

a reaction chamber;
a platen contained within said reaction chamber, said substrate being positioned on the top surface of said platen during deposition;
at least two injectors positioned within said reaction chamber proximate to the top surface of said platen; and
a plasma generator contained at least partially within said reaction chamber;
wherein said injectors are configured to deliver gas to the upper surface of said substrate and wherein said plasma generator and said injectors are configured together to generate and deliver plasma species to the upper surface of said substrate.

2. The apparatus of claim 1, wherein said platen is rotatable.

3. The apparatus of claim 1, wherein said platen is generally circular and wherein said injectors are linear injectors positioned diametrically above said platen.

4. The apparatus of claim 1, wherein said injectors are linear injectors with a plurality of outlets distributed longitudinally.

5. The apparatus of claim 1, wherein said plasma generator comprises:

a plasma power source; and
plasma electrodes coupled to said plasma power source, said electrodes being contained within said reaction chamber.

6. The apparatus of claim 5, wherein said plasma electrodes are proximate and parallel to the top surface of said platen.

7. The apparatus of claim 5, wherein said plasma electrodes are positioned around one of said injectors.

8. The apparatus of claim 5, wherein said plasma electrodes are positioned within one of said injectors.

9. The apparatus of claim 5, wherein said plasma electrodes are configured to form a cavity containing one of said injectors.

10. The apparatus of claim 5, wherein said plasma electrodes are configured to form a cavity containing a gas inlet, said gas inlet being attached to one of said injectors.

11. The apparatus of claim 1, further comprising a substrate heater configured to heat said substrate during deposition.

12. The apparatus of claim 1, further comprising a DC power supply coupled to said platen, said DC power supply being configured to maintain said substrate at a predetermined bias voltage during deposition, wherein said substrate is electrically coupled to said platen.

13. The apparatus of claim 1, further comprising a vacuum pump coupled to said reaction chamber, said vacuum pump and said reaction chamber being configured together to minimize the residence time of deposition gases within said reaction chamber without increasing the time required to form a chemisorbed monolayer of precursor gas on the surface of said substrate.

14. The apparatus of claim 1, further comprising three gas source units coupled to said injectors.

15. The apparatus as in claim 14, wherein said gas source units comprise a first chemical precursor unit, a second chemical precursor unit and a non-condensable gas source unit.

16. The apparatus of claim 14, wherein two of said gas source units are coupled to one of said injectors.

17. The apparatus of claim 14, wherein each of said gas source units is coupled to a different one of said injectors.

18. The apparatus of claim 1, wherein said platen accommodates multiple substrates.

19. The apparatus of claim 18, wherein said multiple substrates include substrates of different shapes.

20. The apparatus of claim 18, wherein said multiple substrates include substrates of different sizes.

21. An apparatus for plasma enhanced monolayer deposition on a substrate, comprising:

a reaction chamber;
a vacuum pump coupled to said reaction chamber;
a platen contained within said reaction chamber, said substrate being positioned on the top surface of said platen during deposition;
three linear injectors positioned within said reaction chamber proximate and parallel to the top surface of said platen;
three gas source units, each gas source unit coupled to a different one of said linear injectors; and
a plasma generator contained at least partially within said reaction chamber.

22. An apparatus as in claim 21, wherein said plasma generator is coupled to one of said linear injectors.

23. A method for plasma enhanced monolayer deposition on a substrate, comprising:

delivering a gaseous first chemical precursor on the surface of said substrate for chemisorption;
delivering a gaseous second chemical precursor for reaction with said chemisorbed first chemical precursor; and
simultaneously with said reaction step, providing reactive species of non-condensable gas plasma to said substrate surface;
wherein said first chemical precursor and said second chemical precursor are provided through injectors positioned proximate to the surface of said substrate.

24. The method as in claim 23, further comprising continuously rotating said substrate during said deposition.

25. The method as in claim 23, further comprising the step of purging excess first chemical precursor from the surface of said substrate.

26. The method as in claim 25, wherein said purging step occurs after said chemisorption step.

27. The method as in claim 25, wherein said purging step occurs before said reaction step.

28. The method as in claim 25, wherein said purging step utilizes a non-condensable gas.

29. The method as in claim 23, further comprising purging excess second chemical precursor from the surface of said substrate.

30. The method as in claim 29, wherein said purging step occurs after said reaction step.

31. The method as in claim 23, wherein said providing step includes generating pulsed plasma.

32. The method as in claim 23, wherein said substrate is positioned on a platen and wherein said injectors are linear and configured parallel to the surface of said platen.

33. The method as in claim 32, wherein said platen is generally circular and wherein said injectors are positioned diametrically with respect to said platen.

34. The method as in claim 33, further including the step of rotating said platen, wherein one deposition cycle includes two complete rotations of said platen.

35. The method as in claim 23, wherein said active species are provided through one of said injectors positioned proximate to the surface of said substrate.

36 The method as in claim 23, wherein said active species are provided through a further injector positioned proximate to the surface of said substrate.

Patent History
Publication number: 20040224504
Type: Application
Filed: Jun 9, 2004
Publication Date: Nov 11, 2004
Inventor: Prasad N. Gadgil (Santa Clara, CA)
Application Number: 10865111
Classifications
Current U.S. Class: Utilizing Chemical Vapor Deposition (i.e., Cvd) (438/680); 118/723.00E
International Classification: H01L021/44; C23C016/00;