Composition useful for removal of post-etch photoresist and bottom anti-reflection coatings

-

An aqueous-based composition and process for removing hardened photoresist and/or bottom anti-reflective coating (BARC) material from a substrate having same thereon. The aqueous-based composition includes at least one chaotropic solute, at least one alkaline base, and deionized water. The composition achieves high-efficiency removal of hardened photoresist and/or BARC material in the manufacture of integrated circuitry without adverse effect to metal species on the substrate, such as copper, and without damage to low-k dielectric materials employed in the semiconductor architecture.

Skip to: Description  ·  Claims  · Patent History  ·  Patent History
Description
FIELD OF THE INVENTION

The present invention relates to aqueous-based compositions useful in semiconductor manufacturing for the removal of hardened photoresist and/or bottom anti-reflection coatings (BARCs) from substrates having such layers thereon, and to methods of using such compositions for removal of hardened photoresist and/or BARC layers from semiconductor substrates.

DESCRIPTION OF THE RELATED ART

Photolithography techniques comprise the steps of coating, exposure, and development. A wafer is coated with a positive or negative photoresist substance and subsequently covered with a mask that defines patterns to be retained or removed in subsequent processes. Following the proper positioning of the mask, the mask has directed therethrough a beam of monochromatic radiation, such as ultraviolet (UV) light or deep UV (DUV) light (λ≈250 nm), to make the exposed photoresist material more or less soluble in a selected rinsing solution. The soluble photoresist material is then removed, or “developed,” thereby leaving behind a pattern identical to the mask.

Currently, there are four developed wavelengths of radiation used in the photolithographic industry—436 nm, 365 nm, 248 nm, and 193 nm—and recent efforts have focused on 157 nm lithography processes. In theory, with each wavelength decrease, smaller features can be created on the semiconductor chip. However, because the reflectively of the semiconductor substrate is inversely proportional to the photolithographic wavelength, interference and unevenly exposed photoresist has limited the consistency of the critical dimensions of the semiconductor device.

For example, upon exposure to DUV radiation, it is well known that the transmissivity of photoresist combined with the high reflectivity of the substrates to the DUV wavelengths results in the reflection of the DUV radiation back into the photoresist thereby producing standing waves in the photoresist layer. The standing waves trigger further photochemical reactions in the photoresist causing an uneven exposure of the photoresist, including in masked portions not intended to be exposed to the radiation, which results in variations in linewidths, spacing and other critical dimensions.

In order to address the transmissivity and reflectivity problems, bottom anti-reflective coatings (BARCs), both inorganic and organic in nature, have been developed which are applied to substrates prior to applying the photoresist. For example, organic BARCs, including, but not limited to, polysulfones, polyureas, polyurea sulfones, polyacrylates and poly(vinyl pyridine), are typically 600-1200 Å thick and deposited using spin-on coating techniques. Generally, organic BARCs are planarizing layers, filling up the vias evenly, and highly cross-linked. Organic BARCs prevent light reflection by matching the reflective index of the BARC layer with that of the photoresist layer while simultaneously absorbing radiation thereby preventing radiation reflection and standing waves.

During back-end-of-line (BEOL) dual-damascene processing of integrated circuits, gas-phase plasma etching is used to transfer the patterns of the developed photoresist coating to an underlying dielectric coating. During pattern transfer, the reactive plasma gases react with the developed photoresist, resulting in the formation of a hardened, crosslinked polymeric material, or “crust,” on the surface of the photoresist. In addition, the reactive plasma gases react with the sidewalls of the BARC and the features etched into the dielectric. During front-end-of-line (FEOL) processing, ion implantation is used to add dopant atoms to the exposed wafer layers. Ion implant-exposed photoresist is also highly cross-linked similar to plasma etched photoresist.

The clean removal of hardened photoresist and/or BARC materials from the semiconductor wafer has proven to be difficult and/or costly. If not removed, the layers may interfere with subsequent silicidation or contact formation. Typically, the layers are removed by oxidative or reductive plasma ashing or wet cleaning. However, plasma ashing, whereby the substrate is exposed to an oxidative or reductive plasma etch, may result in damage to the dielectric material, either by changing the feature shapes and dimensions, or by an increase in the dielectric constant of the dielectric material. The latter problem is more pronounced when low-k dielectric materials, such as organosilicate glasses (OSG) or carbon-doped oxide glasses, are the underlying dielectric material. As such, it is often desirable to avoid the use of plasma ashing to remove the hardened photoresist and/or BARC layers.

When a cleaner/etchant composition is used in BEOL applications to process surfaces having aluminum or copper interconnected wires, it is important that the composition possess good metal compatibility, e.g., a low etch rate on copper, aluminum, cobalt, etc. Aqueous removal solutions are preferred because of the simpler disposal techniques, however, the photoresist “crust” is typically extremely insoluble in aqueous cleaners, especially cleaners that do not damage the dielectric. Often substantial quantities of co-solvents, wetting agents and/or surfactants are added to the aqueous solutions to improve the cleaning ability of the solution.

For example, co-solvents may increase the ability to remove hardened photoresist by increasing the solubility of the photoresist material in the composition and/or decreasing the solution surface tension, i.e., increasing wettability, however, the inclusion of co-solvents may increase the undesirable corrosion of other materials such as metals and low-k dielectrics. Towards that end, a co-solvent-free aqueous solution is desirable, preferably one that completely and efficiently removes hardened photoresist and/or BARC layers from the underlying dielectric.

The present invention relates to removal compositions including chaotropic solutes. It is theorized that a chaotropic solute destructures or breaks-up the hydrogen-bonded structure of liquid water thus increasing the solubility of other species, e.g., polymers, in water. The effects of chaotropes were first noted by Hofineister in 1888 (Hofineister, F., Arch. Exp. Pathol. Pharmakol., 24, 247-260 (1888)) as a function of protein solubility and a “series” of anions was developed based on protein solubilities in solutions containing those anions (Collins, K. D., Washabaugh, M. W., Quart. Rev. Biophysics, 18(4), 323-422 (1985)). Well known chaotropic anions include Cl, NO3, Br, I, ClO4, and SCN. Other chaotropic species include the guanidinium ion and nonionic urea, which have been demonstrated to increase the solubility of hydrocarbons in aqueous solutions (Wetlaufer, D. B., Malik, S. K., Stoller, L., Coffin, R. L., J. Am. Chem. Soc., 86, 508-514 (1964)).

Recently, Xu et al. reported the swelling behaviors of poly(4-vinyl phenol) gel in chaotrope-containing solutions (Xu, L., Yokoyama, E., Watando, H., Okuda-Fukui, R., Kawauchi, S., Satoh, M., Langmuir, 20, 7064-7069 (2004)). Poly(4-vinyl phenol) is a highly cross-linked polymer which was demonstrated to be swollen in an aqueous tetraalkylammonium chloride solution, the swelling being demonstrative of increased solubility of the polymer in the chaotrope-containing solution. Similarly, hardened photoresist and BARC layers are highly cross-linked and thus, chaotropic solutes should theoretically swell the cross-linked photoresist and BARC layers in a similar manner.

It would therefore be a significant advance in the art to provide an aqueous-based, co-solvent-free composition that overcomes the deficiencies of the prior art relating to the removal of hardened photoresist and/or BARC layers from semiconductor substrates.

Further, it would be a significant advance in the art to provide an aqueous based composition including a chaotropic solute to increase the solubility of the hardened photoresist and/or BARC layers in said composition to effectuate removal of the layers from semiconductor substrates.

SUMMARY OF THE INVENTION

The present invention relates to aqueous-based compositions useful in semiconductor manufacturing for the removal of hardened photoresist and/or BARC layers from substrates having same thereon, and to methods of using such compositions for removal of hardened photoresist and/or BARC layers from semiconductor substrates.

In one aspect, the invention relates to a aqueous-based removal composition useful for removing photoresist and/or bottom anti-reflective coating (BARC) materials from a substrate having such material(s) thereon, said composition comprising at least one chaotropic solute and at least one alkaline salt in an aqueous medium.

In another aspect, the invention relates to a method of removing photoresist and/or BARC material from a substrate having said material thereon, said method comprising contacting the substrate with an aqueous-based removal composition for sufficient time to at least partially remove said material from the substrate, wherein the aqueous-based removal composition comprises at least one chaotropic solute and at least one alkaline salt in an aqueous medium.

Other aspects, features and embodiments of the invention will be more fully apparent from the ensuing disclosure and appended claims.

DETAILED DESCRIPTION OF THE INVENTION, AND PREFERRED EMBODIMENTS THEREOF

The present invention is based on the discovery of an aqueous-based composition that is highly efficacious for the removal of hardened photoresist and BARC layers from patterned semiconductor wafers having same thereon. Specifically, the present invention relates to the removal of hardened photoresist and/or BARC layers from plasma etched and/or ion implanted semiconductor wafers.

“Hardened photoresist” as used herein includes, but is not limited to, photoresist that has been plasma etched, e.g., during BEOL dual-damascene processing of integrated circuits, and/or ion implanted, e.g., during front-end-of-line (FEOL) processing to implant dopant species in the appropriate layers of the semiconductor wafer.

In one aspect, the present invention relates to aqueous-based removal compositions useful in removing hardened photoresist and/or BARC layers from a semiconductor substrate. The formulation of the present invention comprises at least one chaotropic solute and at least one alkaline salt in an aqueous medium, present in the following ranges, based on the total weight of the composition:

component of % by weight chaotropic solute(s) about 1.0% to about 30.0% alkaline salt(s) about 1.0% to about 10.0% aqueous medium about 60.0% to about 98.0%

In the broad practice of the invention, the aqueous-based removal composition may comprise, consist of, or consist essentially of at least one chaotropic solute and at least one alkaline salt in an aqueous medium. In general, the specific proportions and amounts of chaotropic solute(s), alkaline salt(s) and aqueous medium, in relation to each other, may be suitably varied to provide the desired removal action of the aqueous-based composition for the hardened photoresist and/or BARC layer species and/or processing equipment, as readily determinable within the skill of the art without undue effort.

As used herein, “aqueous medium” may be any aqueous-based medium which does not alter the removal efficacy of the at least one chaotropic solute and at least one alkaline salt. Preferably, the aqueous medium is water, most preferably deionized water.

The chaotropic solute serves to increase the solubility of the hardened photoresist and/or BARC constituent species in the aqueous-based composition. “Chaotropic solutes,” as defined herein, refer to water soluble or aqueous alkaline soluble neutral and anionic species which increase the ability of an aqueous alkaline composition to remove hardened photoresist and/or BARC layers. “Chaotropic anions” preferably have an atomic or molecular radius of greater than or equal to 1.6 Å, for example those anions conventionally known to be chaotropic including, but not limited to, chloride, bromide, iodide, nitrate, thiocyanide and chlorate. Other solutes contemplated herein for use as chaotropic solutes include, but are not limited to: urea; and guanidinium salts, e.g., guanidinium chloride. Additionally, we expect certain solutes to act as chaotropes based on structural similarities to known chaotropes. Such solutes may include, but are not limited to: anionic benzoate salts and benzoate derivatives such as 2-, 3-, or 4-aminobenzoic acids, 2-, 3-, or 4-nitrobenzoic acid, 2-, 3-, or 4-anisic acid, 2-, 3-, or 4-fluoro-, chloro-, bromo-, or iodo-benzoic acid, 2-, 3-, or 4-methylthio-benzoic acid, and other mono- or poly-substituted benzoic acid salts; 2,4-diamino-6-methyl-1,3,5-triazine; aniline or substituted aniline such as 2-, 3-, or 4methylthio-aniline or 2-, 3-, or 4-anisidine; 1,2-, 1,3-, or 1,4-phenylenediamine, nitrogen-containing heterocyclic compounds such as 1,3,5-triazine or substituted 1,3,5-triazines such as melamine, acetoguanamine, 2,4-diamino-6-phenyl-1,3,5-triazine, 2-chloro-4,6-diamino-1,3,5-triazine, 2,4,6-trimethoxy-1,3,5-triazine, 2,4,6-trimethoxy-1,3,5-triazine, 2,4-diamino-1,3,5-triazine, 2-amino-1,3,5-triazine, 2-amino-4-ethoxy-6-(methylamino)-1,3,5-triazine, 2-methoxy-4-methyl-6-(methylamino)-1,3,5-triazine; 1,2,4-triazole or substituted 1,2,4-triazoles; imidazole or substituted imidazoles such as 2-mercaptoimidazole, and 2-mercaptobenzimidazole.

Preferably, the cations associated with the chaotropic anions are metal-ion free, e.g., (NR1R2R3R4)+ where R1, R2, R3 and R4 may be the same as or different from one another and each is independently selected from the group consisting of hydrogen and C1-C6 alkyl groups. Preferably, the cation associated with the chaotropic anion is tetramethylammonium.

The alkaline salt(s) serve to attack the hardened photoresist and/or BARC layer. Although not wishing to be bound by theory, it is postulated that the chaotropic solute swells the polymeric layer allowing the alkaline salts to attack every interface of the hardened photoresist and/or BARC layer. Thus, the interface between the substrate and the hardened photoresist and/or BARC layer is compromised and the hardened photoresist and/or BARC layer delaminates from the substrate. Alkaline salt(s) contemplated herein include metal-ion free hydroxides, e.g., (NR1R2R3R4)OH where R1, R2, R3 and R4 may be the same as or different from one another and each is independently selected from the group consisting of hydrogen and C1-C6 alkyl groups. Preferably, the alkaline salt is tetramethylammonium hydroxide and the pH of the aqueous-based removal composition is at least about 13.

In general, the specific proportions and amounts of chaotropic solute(s), alkaline salt(s), and deionized water in relation to each other may be suitably varied to provide the desired solubilizing action of the aqueous-based composition for the specific photoresist and/or BARC layers to be cleaned from the substrate. Such specific proportions and amounts are readily determinable by simple experiment within the skill of the art without undue effort.

The removal efficiency of the aqueous-based removal composition of the present invention may be enhanced by use of elevated temperature conditions in the contacting of the photoresist and/or BARC layers to be removed with the aqueous-based removal composition.

The aqueous-based removal compositions of the invention may optionally be formulated with additional components to further enhance the removal capability of the composition, or to otherwise improve the character of the composition. Accordingly, the composition may be formulated with surfactants, stabilizers, chelating agents, corrosion inhibitors, complexing agents, etc. Although the aqueous-based removal compositions of the invention normally contain no organic co-solvents, an organic co-solvent may be included so long as they do not corrode other materials such as metals and low-k dielectrics. Co-solvents contemplated herein include alkanols (e.g., straight chained or branched C1-C6 alcohols), butyl carbitol and sulfolane-w.

Preferred aqueous-based removal compositions include formulations (A)-(G) enumerated hereinbelow:

Formulation A

2.5 wt. % tetramethylammonium hydroxide

20.0 wt. % urea

77.5 wt. % deionized water

Formulation B

1.5 wt. % tetramethylammonium hydroxide

1.6 wt. % 2,4-diamino-6-methyl-1,3,5-triazine

20.0 wt. % urea

76.9 wt. % deionized water

Formulation C

2.0 wt. % tetramethylammonium hydroxide

1.0 wt. % 2,4-diamino-6-methyl-1,3,5-triazine

1.0 wt. % 4-aminobenzoic acid

96.0 wt. % deionized water

Formulation D

2.0 wt. % tetramethylammonium hydroxide

2.4 wt. % tetramethylammonium nitrate

95.6 wt. % deionized water

Formulation E

5.0 wt. % tetramethylammonium hydroxide

9.0 wt. % tetramethylammonium nitrate

10.0 wt. % butyl carbitol

10.0 wt. % sulfolane-w

66.0 wt. % deionized water

Formulation F

from about 1.0 wt. % to about 5.0 wt. % tetramethylammonium hydroxide

from about 1.0 wt. % to about 20.0 wt. % of 2-, 3-, or 4-nitrobenzoic acid tetramethylammonium salt

remainer deionized water

Formulation G

from about 1.0 wt. % to about 5.0 wt. % tetramethylammonium hydroxide

from about 1.0 wt. % to about 20.0 wt. % of ortho-, meta-, or para-phenylenediamine

remainer deionized water

The aqueous-based compositions of the invention are easily formulated by simple addition of the respective ingredients and mixing to homogeneous condition.

In another aspect, the invention relates to methods of removal of hardened photoresist and/or BARC layers from a semiconductor wafer surface using the aqueous-based removal compositions described herein.

In hardened photoresist and/or BARC removal application, the aqueous-based composition is applied in any suitable manner to the material to be cleaned, e.g., by spraying the aqueous-based composition on the surface of the material to be cleaned, by dipping (in a volume of the aqueous-based composition) of the material or article including the material to be cleaned, by contacting the material or article to be cleaned with another material, e.g., a pad, or fibrous sorbent applicator element, that is saturated with the aqueous-based composition, or by any other suitable means, manner or technique by which the aqueous-based composition is brought into removal contact with material to be cleaned.

Other methods of cleaning can be utilized for cleaning full wafers with a diameter of 200 or 300 mm such as typically used for semiconductor circuit manufacturing, for example, single wafer or batch immersion, or single wafer or batch spray application.

As applied to semiconductor manufacturing operations, the aqueous-based compositions of the present invention are usefully employed to remove hardened photoresist and/or BARC materials from substrates and semiconductor device structures on which such material(s) have been deposited.

The compositions of the present invention, by virtue of their selectivity for such hardened photoresist and/or BARC materials relative to other materials that may be present on the semiconductor substrate, e.g., ILD structures, metallization, barrier layers, etc., achieve removal of the hardened photoresist and/or BARC material(s) in a highly efficient manner.

In use of the compositions of the invention for removing photoresist and/or BARC materials from semiconductor substrates having same thereon, the aqueous-based composition typically is contacted with the substrate for a time of from about 1 minute to about 60 minutes, at temperature in a range of from about 40° C. to about 80° C. Such contacting times and temperatures are illustrative, and any other suitable time and temperature conditions may be employed that are efficacious to completely remove the hardened photoresist and/or BARC material from the substrate using the aqueous-based compositions of the present invention, within the broad practice of the invention.

Following the achievement of the desired removal action, the aqueous-based composition is readily removed from the substrate or article to which it has previously been applied, e.g., by rinse, wash, or other removal step(s), as may be desired and efficacious in a given end use application of the compositions of the present invention. Preferably, the substrate or article is rinsed with copious amounts of deionized water and blown dry with nitrogen gas prior to subsequent processing.

The features and advantages of the invention are more fully shown by the illustrative examples discussed below.

EXAMPLE 1

Cleaning was performed on samples of patterned semiconductor substrate consisting of layers of hardened photoresist, BARC, low-k dielectric (specifically carbon-doped oxide), and silicon nitride. Plasma etching had been previously performed to transfer a pattern of lines, spaces, and holes of varying dimensions, from about 100 nanometers to greater than 10 microns, from a pattern formed in a top coating of photoresist to the underlying materials. The pattern consisted of spaces etched into the substrate, stopping at the silicon nitride etch-stop layer. The hardened photoresist and BARC was present as a coating of between 10 to 50 nanometers.

A section of the substrate was cleaned by immersion for a fixed time at a fixed temperature in a static bath of the Formulation A cleaning solution described hereinabove. After immersion for the set time the sample was removed, rinsed with copious amounts of de-ionized water, and blown dry with nitrogen. A cleaning time of 30 minutes at 55° C. was sufficient to remove 100% of the hardened photoresist and BARC. Cleaning was observed by top-down optical microscopy and confirmed by scanning electron microscopy (SEM).

EXAMPLE 2

Cleaning using Formulation B was performed on a sample of patterned semiconductor substrate such as that described in Example 1 using the same method described in Example 1. An immersion time of greater than 20 minutes but less than 30 minutes at 55° C. was sufficient to clean 100% of the hardened photoresist and BARC material from the substrate as observed by top-down optical microscopy and confirmed by scanning electron microscopy (SEM).

EXAMPLE 3

Cleaning using Formulation C was performed on a sample of patterned semiconductor substrate such as that described in Example 1 using the same method described in Example 1. An immersion time of greater than 20 minutes but less than 30 minutes at 55° C. was sufficient to clean close to 100% of the hardened photoresist and BARC material from the substrate as observed by top-down optical microscopy and confirmed by scanning electron microscopy (SEM).

EXAMPLE 4

Cleaning using Formulation D was performed on a sample of patterned semiconductor substrate such as that described in Example 1 using the same method described in Example 1. An immersion time of greater than 20 minutes but less than 30 minutes at 55° C. was sufficient to clean about 90% of the photoresist and BARC material from the substrate as observed by top-down optical microscopy and confirmed by scanning electron microscopy (SEM).

EXAMPLE 5

Cleaning using Formulation E was performed on a sample of patterned semiconductor substrate such as that described in Example 1 using the same method described in Example 1. An immersion time of about 20 minutes at 55° C. was sufficient to clean 100% of the photoresist and BARC material from the substrate as observed by top-down optical microscopy and confirmed by scanning electron microscopy (SEM).

Accordingly, while the invention has been described herein in reference to specific aspects, features and illustrative embodiments of the invention, it will be appreciated that the utility of the invention is not thus limited, but rather extends to and encompasses numerous other aspects, features and embodiments. Accordingly, the claims hereafter set forth are intended to be correspondingly broadly construed, as including all such aspects, features and embodiments, within their spirit and scope.

Claims

1. An aqueous-based removal composition, said composition comprising at least one chaotropic solute and at least one alkaline salt in an aqueous medium, wherein the removal composition is useful for removing photoresist and/or BARC materials from a substrate having said material(s) thereon.

2. The composition of claim 1, comprising the following components based on the total weight of the composition:

60.0% wt-98.0% wt. deionized water
1.0% wt-30.0% wt chaotropic solute; and
1.0% wt-10.0% wt alkaline salt,
wherein the total of the weight percentages of such components of the composition does not exceed 100% weight.

3. The composition of claim 1, wherein the at least one chaotropic solute comprises a chaotropic species selected from the group consisting of: urea; guanidinium chloride; 2-, 3-, and 4-aminobenzoic acid; 2-, 3-, and 4-nitrobenzoic acid; 2-, 3-, and 4-anisic acid; 2-, 3-, and 4-fluoro-, chloro-, bromo-, and iodo-benzoic acid; 2-, 3-, and 4-methylthio-benzoic acid; 2,4-diamino-6-methyl-1,3,5-triazine; aniline; 2-, 3-, and 4-methylthio-aniline; 2-, 3-, and 4-anisidine; 1,2-, 1,3-, and 1,4-phenylenediamine; 1,3,5-triazine; melamine; acetoguanamine; 2,4-diamino-6-phenyl-1,3,5-triazine; 2-chloro-4,6-diamino-1,3,5-triazine; 2,4,6-trimethoxy-1,3,5-triazine; 2,4,6-trimethoxy-1,3,5-triazine; 2,4-diamino-1,3,5-triazine; 2-amino-1,3,5-triazine; 2-amino-4-ethoxy-6-methylamino)-1,3,5-triazine; 2-methoxy-4-methyl-4-(methylamino)-1,3,5-triazine; 1,2,4-triazole; imidazole; 2-mercaptoimidazole; 2-nercaptobenzimidazole; chaotropic anions selected from the group consisting of chloride salts, bromide salts, iodide salts, nitrate salts, thiocyanide salts, chlorate salts, and benzoate salts; and combinations thereof.

4. The composition of claim 3, wherein the cation associated with the chaotropic anion comprises (NR1R2R3R4)+, wherein R1, R2, R3 and R4 may be the same as or different from one another and each is independently selected from the group consisting of hydrogen and C1-C6 alkyl groups.

5. The composition of claim 1, wherein the at least one chaotropic solute comprises a chaotropic anion having an atomic or molecular radius of greater than or equal to 1.6 Å.

6. The composition of claim 1, wherein the at least one chaotropic solute comprises urea.

7. The composition of claim 1, wherein the at least one alkaline salt comprises (NR1R2R3R4)OH, wherein R1, R2, R3 and R4 may be the same as or different from one another and each is independently selected from the group consisting of hydrogen and C1-C6 alkyl groups.

8. The composition of claim 1, having a pH greater than about 13.

9. The composition of claim 1, selected from the group consisting of Formulations A-G, wherein all percentages are by weight based on the total weight of the formulation:

Formulation A
2.5 wt. % tetramethylammonium hydroxide;
20.0 wt. % urea;
77.5 wt. % deionized water;
Formulation B
1.5 wt. % tetramethylammonium hydroxide;
1.6 wt % 2,4-diamino-6-methyl-1,3,5-triazine;
20.0 wt. % urea;
76.9 wt % deionized water,
Formulation C
2.0 wt. % tetrwnethylammonium hydroxide;
1.0 wt. % 2,4-diamino-6-methyl-1,3,5-triazine;
1.0 wt. % 4-aminobenzoic acid;
96.0 wt. % deionized water,
Formulation D
2.0 wt % tetramethylammonium hydroxide;
2.4 wt. % tetramethylammonium nitrate;
95.6 wt. % deionized water,
Formulation E
5.0 wt. % tetramethylammonium hydroxide;
9.0 wt. % tetramethylammonium nitrate;
10.0 wt. % butyl carbitol;
10.0 wt. % sulfolane-w;
66.0 wt. % deionized water.
Formulation F
from about 1.0 wt % to about 5.0 wt. % tetramethylammonium hydroxide
from about 1.0 wt. % to about 20.0 wt. % of 2-, 3-, or 4-nitrobenzoic acid tetramethylammonium salt
remainder deionized water
and
Formulation G
from about 1.0 wt. % to about 5.0 wt. % tetramethylammonium hydroxide
from about 1.0 wt. % to about 20.0 wt. % of ortho-, meta-, or para-phenylenediamine remainder deionized water.

10. A method of removing photoresist and/or BARC material from a substrate having said material thereon, said method comprising contacting the substrate with an aqueous-based removal composition for sufficient time to at least partially remove said material from the substrate, wherein the aqueous-based removal composition comprises at least one chaotropic solute and at least one alkaline salt in an aqueous medium.

11. The method of claim 10, wherein the aqueous-based removal composition comprises the following components, based on the total weight of the composition:

60.0% wt.-98.0% wt. deionized water
1.0% wt.-30.0% wt. chaotropic solute; and
1.0% wt.-10.0% wt. alkaline salt,
wherein the total of the weight percentages of such components of the composition does not exceed 100% weight.

12. The method of claim 10, wherein the substrate comprises a semiconductor device structure.

13. The method of claim 10, wherein the material comprises a layer selected from the group consisting of: photoresist hardened by plasma etching; photoresist hardened by ion implantation; and BARC.

14. The method of claim 10, wherein said contacting is carried out for a time of from about 1 minute to about 60 minutes.

15. The method of claim 10, wherein said contacting is carried out at temperature in a range of from about 40° C. to about 80° C.

16. The method of claim 10, wherein the at least one chaotropic solute comprises a chaotropic species selected from the group consisting of: urea; guanidinium chloride; 2-, 3-, and 4-aminobenzoic acid; 2-, 3-, and 4-nitrobenzoic acid; 2-, 3-, and 4-anisic acid; 2-, 3-, and 4-fluoro-, chloro-, bromo-, and iodo-benzoic acid; 2-, 3-, and 4-methylthio-benzoic acid; 2,4-diamino-4-methyl-1,3,5-triazine; aniline; 2-, 3-, and 4-methylthio-aniline; 2-, 3-, and 4-anisidine; 1,2-, 1,3-, and 1,4-phenylenediamine; 1,3,5-triazine; melamine; acetoguanamine; 2,4-diamino-6-phenyl-1,3,5-triazine; 2-chloro-4,6-diamino-1,3,5-triazine; 2,4,6-trimethoxy-1,3,5-triazine; 2,4,6-trimethoxy-1,3,5-triazine; 2,4-diamino-1,3,5-triazine; 2-amino-1,3,5-triazine; 2-amino-4-ethoxy-6-methylamino)-1,3,5-triazine; 2-methoxy-4-methyl-6-methylamino)-1,3,5-triazine; 1,2,4-triazole; imidazole; 2-mercaptoimidazole; 2-mercaptobenzimidazole; chaotropic anions selected from the group consisting of chloride salts, bromide salts, iodide salts, nitrate salts, thiocyanide salts, chlorate salts, and benzoate salts; and combinations thereof.

17. The method of claim 16, wherein the cation associated with the chaotropic anion comprises (NR1R2R3R4)+, wherein R1, R2, R3 and R4 may be the same as or different from one another and each is independently selected from the group consisting of hydrogen and C1-C6 alkyl groups.

18. The method of claim 10, wherein the at least one alkaline salt comprises (NR1R2R3R4)OH, wherein R1, R2, R3 and R4 may be the same as or different from one another and each is independently selected from the group consisting of hydrogen and C1-C6 alkyl groups.

19. The method of claim 10, wherein the aqueous-based removal composition is selected from the group consisting of Formulations A-G, wherein all percentages are by weight, based on the total weight of the formulation:

Formulation A
2.5 wt. % tetramethylammonium hydroxide;
20.0 wt. % urea;
77.5 wt % deionized water,
Formulation B
1.5 wt. % tetramethylammonium hydroxide;
1.6 wt. % 2,4-diamino-4-methyl-1,3,5-triazine;
20.0 wt. % urea;
76.9 wt. % deionized water;
Formulation C
2.0 wt. % tetramethylammonium hydroxide;
1.0 wt. % 2,4-diamino-6-methyl-1,3,5-triazine;
1.0 wt. % 4-aminobenzoic acid;
96.0 wt. % deionized water;
Formulation D
2.0 wt. % tetramethylammonium hydroxide;
2.4 wt. % tetramethylammonium nitrate;
95.6 wt. % deionized water;
Formulation E
5.0 wt. % tetramethylammonium hydroxide;
9.0 wt. % tetramethylammonium nitrate;
10.0 wt % butyl carbitol;
10.0 wt % sulfolane-w;
66.0 wt. % deionized water
Formulation F
from about 1.0 wt % to about 5.0 wt. % tetramethylammonium hydroxide
from about 1.0 wt. % to about 20.0 wt. % of 2-, 3-, or 4-nitrobenzoic acid tetramethylammonium salt
remainder deionized water
and
Formulation G
from about 1.0 wt. % to about 5.0 wt. % tetramethylammonium hydroxide
from about 1.0 wt. % to about 20.0 wt. % of ortho-, meta-, or para-phenylenediamine remainder deionized water

20. The method of claim 10, further comprising rinsing the substrate with deionized water following contact with the aqueous-based removal composition.

21. The composition of claim 1, wherein both the at least one chaotropic solute and the at least one alkaline salt are metal-ion free.

22. The method of claim 10, wherein both the at least one chaotropic solute and the at least one alkaline salt are metal-ion free.

23. The composition of claim 1, further comprising photoresist material.

24. The composition of claim 1, further comprising BARC material.

25. The method of claim 10, wherein the aqueous-based removal composition further comprises photoresist material.

26. The method of claim 10, wherein the aqueous-based removal composition further comprises BARC material.

Patent History
Publication number: 20060154186
Type: Application
Filed: Jan 7, 2005
Publication Date: Jul 13, 2006
Applicant:
Inventors: David Minsek (New Milford, CT), Weihua Wang (Danbury, CT), David Bernhard (Newtown, CT), Thomas Baum (New Fairfield, CT)
Application Number: 11/031,118
Classifications
Current U.S. Class: 430/331.000
International Classification: G03C 5/00 (20060101);