Customized polishing pads for CMP and methods of fabrication and use thereof

Various examples of customized polishing pads are given, along with methods of making and using such customized polishing pads. The subject customized pads are designed and fabricated so that there is spatial distribution of chemical and physical properties of the pads that are customized for performance suited to a specific type of substrate, as well as fabrication control in implementing such customized design. Such customized design and fabrication control produce a monolithic pad thereby specifically suited to provide uniform performance of CMP of the targeted substrate.

Skip to: Description  ·  Claims  · Patent History  ·  Patent History
Description
FIELD

The present application relates to polishing pads for chemical mechanical planarization (CMP) of substrates, and methods of fabrication and use thereof. More particularly, the pads are designed and fabricated so that there is spatial distribution of chemical and physical properties within the pads.

BACKGROUND

CMP utilizes a slurry, referred to as a reactive liquid medium, in conjunction with a polishing pad to provide the chemical and mechanical action for removal of material from the substrate surface during the planarization process. For example, one area of use for CMP is for the planarization of individual layers (dielectric or metal layers) during integrated circuit (IC) fabrication on a semiconductor substrate. CMP removes undesirable topographical features of the IC layers, such as excess metal deposits subsequent to damascene processes, removal of excess oxide from shallow trench isolation (STI) steps, or planarizing inter-level dielectric (ILD) and inter-metal dielectric (IMD) layers. The main purpose of CMP used in IC fabrication is to maintain planarity at each step of depositing and photolithographically imaging sequential dielectric and metal layers.

During the CMP process, the chemical interaction of the slurry with the substrate forms a chemically modified layer at the polishing surface. Simultaneously, abrasives in the slurry mechanically interact with chemically modified surface layers resulting in material removal. Polishing pads are typically made of a rigid, micro-porous polymeric material, such as polyurethane, and perform several functions including providing uniform slurry transport, distribution and removal of the reacted products, and uniform distribution of applied pressure across the wafer. At the nanoscale, the interaction of the pad and slurry in the formation and removal of the thin surface layer determine the removal rate (RR), surface planarity, surface non-uniformities, surface defects, and selectivity of material removal. In that regard, the pad local material/tribological/mechanical properties are critical to both local and global planarization during the CMP process.

As previously mentioned, one area of use of CMP is for the semiconductor industry, where CMP is used in different process steps. The current art of CMP pads, which are both open-pore and closed-pore polymeric pads, have essentially homogeneous tribological, chemical and frictional characteristics that are suitable for the processing of conventional ICs. However, the new and evolving sub-90 nm CMOS technologies present many challenges to CMP processing. These challenges result from increased complexities in design [i.e. system on a chip (SOC)], and process [i.e. silicon on insulator (SOI)], as well as differences and changes in materials [i.e. STI; copper and low k dielectrics], variation in chip pattern density, and increased chip size. The impact of these challenges related to the processing of sub-90 nm technologies is that chip yields, device performance, and device reliability have deteriorated significantly.

For example, both STI, and copper CMP (Cu CMP) represent challenges of the CMP of dissimilar materials. STI CMP involves dishing of oxide, and erosion of nitride, where the differences in materials demand a CMP process with selectivity for removal rate (RR) of such materials. Similarly, for the Cu CMP of the evolving sub 90 nn technologies, dishing occurs when copper is unevenly removed through mechanical action, such as pad flexing and abrasive gouging, while erosion creates surface anomalies due to localized excessive removal of dielectric. A high degree of planarization is compromised by excessive dishing and erosion, which causes difficulties in meeting resistance specifications across different pattern densities. Presently, the problem of feature loss due to loss of planarization resulting from dishing and erosion accounts for 50% of yield loss for the sub 90 nm technologies. Dishing and erosion are impacted by pad properties, such as hardness, toughness, and porosity.

As another example, variation of pattern density presents challenges for the CMP of ICs. For example, pattern density is correlated with chip size, so that a lower pattern density is correlated with smaller chip size, and conversely, a higher pattern density exists for larger chip size. It is desired to vary pad features, such as hardness, surface architecture, and surface texturing, as a function of variation of pattern density.

Given the numerous variables in IC fabrication, such as IC design, material differences, and pattern density, there is a need in the art for polishing pads for CMP that can be designed and fabricated so that there is spatial distribution of chemical and physical properties of the pads that are customized for performance suited to a specific type of substrate. In this regard, it is desirable to have polishing pads in which properties, such as material type, as well as physical properties, such as hardness, porosity, toughness, and compressibility are a priori selectively designed before fabrication and spatially controlled during the in situ fabrication of the pads, which produce a single unified structure. Various customized polishing pads disclosed herein address the need in the art for such pads having customized design, as well as fabrication control in implementing such customized design. Such customized design and fabrication control produce a single unified pad thereby specifically suited to provide uniform performance of CMP of the targeted substrate.

BRIEF DESCRIPTION OF FIGURES

FIGS. 1a and 1b depict an exemplary deposition layer formed on an underlying layer.

FIGS. 3a and 3b depict dishing and erosion in a metal deposited within a trench in a dielectric layer.

FIG. 3 is a representation of components of a CMP apparatus.

FIG. 4a is a generic representation of a Stribeck curve. FIG. 4b is a generic representation of a Preston curve, referred to as a Prestonian plot.

FIG. 5 depicts schematically a phase diagram of block copolymers with different equilibrium structures.

FIG. 6a-6c depict how porosity of a pad can be controlled with respect to pore size (6a), pore density (6b), and distribution of size and density (6c).

FIGS. 7a-7d are a series of cross-sectional photomicrographs of two exemplary customized pads having controlled porosity vs. two commercially available pads.

FIGS. 8a-8f show comparisons of the Preston plots (RRs) of two of the subject pads having controlled porosity, which contain microballoons and chemical blowing agents v Preston plots (RRs) of two commercially available pads (FIGS. 8e and 8f).

FIGS. 9a-9f show the Stribeck curves comparison for two of the subject pads having controlled porosity, which contain microballoons and chemical blowing agents v. the Stribeck curves for two commercially available pads (FIGS. 9e-9f).

FIG. 10 depicts a schematic functionally graded pad having an outer ring of one formulation and an inner region of a second formulation having different tribological properties.

FIG. 11 depicts a functionally graded pad having islands of one polymeric formulation and a surrounding region of a polymeric matrix having a different tribology.

FIG. 12 depicts a functionally graded pad having a complex grading with varying shapes, sizes, and materials with tribological properties.

FIG. 13 shows a functionally graded pad where the center comprises a first formulation at the center and the edge comprises a second formulation. In this variation, the two formulations are completely miscible.

FIG. 14a depicts a bi-layer low shear integral pad with grooves in the polishing pad surface. FIG. 14b depicts formation of an integral pad with multiple sub-layers, also with grooves in the polishing pad surface.

FIGS. 15a and 15b describe the concept of a low shear integral pad comparing shear force distribution in a single layer pad (FIG. 15a) as opposed to a two layer pad (FIG. 15b).

FIG. 16 is a graph which shows the stress-strain relationship for copper.

FIGS. 17a-17c compare polishing performance of a one layer pad with an exemplary two layer customized low shear integral pad using a commercial slurry for polishing oxide (FIG. 17a), for polishing nitride (FIG. 17b), and for selectivity of removal for oxide and nitride (FIG. 17c).

FIGS. 18a-18c compare polishing performance of a one layer pad with an exemplary two layer customized low shear integral pad using a commercial slurry for polishing oxide (FIG. 18a), for polishing nitride (FIG. 18b), and for selectivity of removal for oxide and nitride (FIG. 18c).

FIG. 19 shows the Stribeck curves for two commercial pads and one of the subject customized subsurface engineered pads.

FIGS. 20a and 20b show a comparison of the XRD peak positions comparing two commercially available pads, and one of the subject customized subsurface engineered pads.

FIGS. 21a and 21b show a comparison of the lattice constant for the 2 commercially available pads, and one of the subject customized subsurface engineered pads as per FIGS. 20a and 20b.

FIGS. 22a and 22b show a comparison of the FWHM for the 2 commercially available pads, and one of the subject customized subsurface engineered pads as per FIGS. 20a and 20b.

FIG. 23 shows the comparison of the Stribeck curves and Prestonian plots of two of the subject customized subsurface engineered pads.

DETAILED DESCRIPTION

Various polishing pads disclosed herein are pads in which the structure and characteristics of a substrate have been taken into consideration in the customized design of the polishing pad. Pads are then fabricated in situ using fabrication means that can spatially control the properties of the pad according to the customized design, producing single unified customized pads thereby.

What is meant by substrate is any material or device for which the CMP process is indicated. In this regard, various customized polishing pad described herein may be useful for processing various types of substrates, including: 1.) Wafers, such as silicon, silicon carbide, gallium arsenide, and germanium, 2.) Semiconductor processing, such as reducing topography across a dielectric region, clearing metal deposits in damascene processes, or removing excess oxide in STI steps. 3.) Rigid disks used for storage media, such as nickel plated aluminum, and glass. 4.) Optical devices used for the internet and digital optical networks, such as fiber optic cables. 5.) Materials, such as metallurgical materials, ceramic and nano-composite substrates, and the like. 6.) Micro- and nano-structures and devices created in numerous materials using micromachining techniques, such as lithographic techniques, laser ablation, hot embossing, and micromolding, etc. In short, various subject customized polishing pads are useful for a variety of materials and devices where the requirements of surfaces having the precision finish, evenness, and flatness provided by CMP are desired.

It is contemplated that various subject customized polishing pads disclosed herein may be customized for use in the semiconductor industry for the CMP of integrated circuits (ICs) on a wafer substrate. For such a use, a polishing pad for CMP of an IC structure is customized by obtaining one or more characteristics of the IC structure on the substrate, such as the IC size, pattern density, IC architecture, film material, film topography, and the like. Based on the one or more characteristics of the IC structure, a value for the one or more properties of the pad is selected, such as material type, hardness, porosity, toughness, compressibility, surface architecture, surface texturing, and the like, and the spatial distribution of the properties in a single unified structure. Such custom design and in situ fabrication of a single unified pad can lead to desired uniform performance for the CMP processing of ICs.

What is meant by uniform performance of the CMP process for ICs has to do with a number of criteria that are used to assess the quality of the process. One criterion of polishing performance is removal rate (RR). As, will be discussed in more detail subsequently, removal rate is affected by a number of apparatus and consumable parameters. Examples of pad properties, such as compressibility, porosity, and surface texture, may impact slurry transport, for example, which impacts RR. Another criterion of polishing performance is substrate planarity, so that occurrences of dishing and erosion, such as of dielectric materials in an STI stack, are minimized or eliminated. Pad hardness, toughness, and porosity, are examples of pad properties that have an impact on substrate planarity. It has been observed that pads which have controlled porosity, i.e. controlled size and density of pores and distribution of porosity, will perform much better in planarization performance. The number of substrate non-uniformities (NUs), such as scratches and chips, is still another criterion of polishing performance. Examples of pad properties that impact the number of NUs include hardness, and surface texture, which impacts slurry transport. Finally, defectivity is yet another criterion by which the polishing process is evaluated. The CMP process is harsh, both chemically and mechanically, and stress-induced defects in ICs reduce device yield. An example of a pad property that impacts untoward defectivity is pad hardness, which may yield good planarity at the expense of increased defectivity. Lack of control of pads porosity may result in non-uniform shear force, and therefore non-uniform COF, which may result in increased defectivity. The criteria of polishing performance, RR, substrate planarity, incidence of NUs, and defects are examples of criteria that impact the cost of ownership (COO) of CMP processes, and therefore commercial success.

Several variables of the IC design have an impact on uniform polishing performance. One example of such a variable, the pattern density of an IC, can affect the film removal amount, and therefore the uniformity within an IC and across a wafer. In FIG. 1 an IC 10 being fabricated has underlying features 12, such as metal lines, of a deposited film 14 can create high regions 16 and low regions 18 in the topography. In particular, topography is strongly dependent on pattern density in copper based dual damascene structures because of the nature of electroplating in trenches that have different widths across a chip and the chemistry associated with the additives used in the electroplating process. In general, high regions 16 in the topography polish faster than the low regions 18. As depicted in FIG. 1a, an initial step height 20 is associated with deposited film 14 before polishing. As depicted in FIG. 1b, a final step height 22 is associated with deposited film 14 after polishing. The differential rate for high regions 16 and low regions 18 removal, indicated by the difference in initial step height 20 and final step height 22, is a figure of merit for planarization. The larger this difference, the better the planarity after the CMP process. It should also be noted that a pattern density of less than 30% is typical for smaller IC size, while a pattern density of about 50% is typical for larger IC size. Therefore, a higher pattern density is correlated with a larger IC size.

Another example of a variable in IC fabrication that has an impact on uniform polishing performance within an IC and across the wafer is the film material. In particular, dishing and erosion can occur in a CMP process involving multiple film materials, due to the fact that different materials frequently have different polishing rates. In FIG. 2a, an IC being fabricated 30, has a metal line 32 deposited within a trench in a dielectric layer 34 is depicted. In FIG. 2b, dishing of metal line 32 is depicted as a deviation in height 36 of metal line 32 from planarity with dielectric layer 34. Also, erosion of dielectric layer 34 is depicted as a deviation in height 38 of dielectric layer 34 from its intended height. Dishing and erosion can exist in shallow trench isolation (STI), tungsten plug, and dual damascene process for copper based interconnects. When copper is used, an additional film material is used as a barrier layer between the copper and the dielectric material.

With respect to examples of how pad properties may be designed from such considerations, one property of the pad that can be selected based on the IC size and density is the pad hardness. In particular, a harder pad of at least about 60 Shore D to about 90 Shore D hardness is used for larger IC size and higher wafer density, while a pad of about 30 Shore D to about 50 Shore D hardness is used for smaller IC size and lower wafer density. Another property of the pad that can be selected based on IC size and density is porosity. In particular, a pad with lower porosity of about 5% to about 9% is used for larger IC size and higher density, while a higher porosity of about 10% to about 20% is used for smaller IC size and lower wafer density. Yet another property of the pad that can be selected based on IC size is pad surface architecture, such as grooving, and surface texturing, or asperity. In particular, a higher degree of asperity is used for larger IC size and higher density than for smaller IC size and density.

In FIG. 3, a generalized depiction of key elements of a CMP apparatus 50 is shown. The slurry 52 is typically dispensed via a slurry dispenser 54 onto the polishing pad 56, as shown in FIG. 3. Alternatively, the slurry 52 may be delivered from the bottom of the pad to the surface of the pad therethrough. The polishing pad 56 is mounted on a rotatable platen 58, from which a rotatable platen shaft 60 extends. The substrate (not shown) is held by a substrate chuck 62, from which a substrate chuck shaft 64 extends. The arrows show the direction of vector forces which act to rotate the polishing pad 56 and the substrate chuck 62, and hence the substrate (not shown). A down force is controllably applied to the substrate chuck 62 via the substrate chuck shaft 64, providing controllable contact between the polishing pad 56 and the substrate (not shown). Typically, the shaft is adapted to a piston, which piston is driven by a fluid, either a gas, in the example of pneumatic pressure control, or a liquid, in the example of hydraulic pressure control.

To understand some of the factors affecting CMP processing, an understanding of FIG. 4a, the Stribeck curve, and FIG. 4b, the Prestonian plot, is useful. In FIG. 4a, the Stribeck curve displays the relationship of the coefficient of friction (COF) vs. the Sommerfeld number (So) where the COF and, So are given by:
COF=Fshear/Fnormal   (1)

    • where Fshear is the shear force; Fnormal is the normal force
      So[=μV/p.67eff]  (2)
    • where μ=slurry viscosity, V=the relative pad-wafer velocity; and δeff=αRa+[1−α].δgroove
    • where Ra=average pad roughness, δgroove=pad groove depth, and α, a scaling factor,is given by
      α=Aup-features/Aflat pad

There are three regions indicated on the generalized Stribeck-curve shown in FIG. 4a. In the region indicated as “boundary lubrication”, both the polishing pad and the substrate are in intimate contact with slurry abrasive particles, and COF remains constant with increasing values of So. In this regime larger values of both the COF and removal rate (RR) occur. Such constancy is desirable for process stability. Any drift in the boundary lubrication regime is a result of variability in wafer/slurry/pad interface during the CMP process. In the partial lubrication regime, the substrate and pad are separated by a fluid film layer that has a thickness approximately the roughness of the pad. Since RRs are lower in this regime than in the boundary lubrication regime, pad life is increased in the partial lubrication regime. However, the rate of change of the negative slope indicates for the partial lubrication regime there is less stability, control, and predictability than in the boundary lubrication regime. In the hydrodynamic lubrication regime, an even larger fluid layer results in even lower RRs.

In FIG. 4b, an idealized Prestonian plot is shown, where the removal rate (RR) is given as:
RR=kPr×p×U

    • where kp=Preston constant;
    • p=actual pressure between the pad and the substrate; and
    • U=relative pad-substrate velocity.
      Ideally, the Prestonian plot is linear. Deviation from ideal linear behavior can be attributed to slurry rheology and polishing pad tribology. For instance, a comparison of different slurries under constant conditions has shown that some exhibit non-ideal Prestonian behavior at high pressures. Such slurries are referred to as pressure-sensitive slurries. Additionally, polishing pad tribology, which is influenced by variables such as pad hardness, thickness, compressibility, porosity, and surface texture may also contribute to non-ideal Prestonian behavior.

The Preston constant is proportional to the RR. It has been observed that the Preston constant is proportional to the pad compressibility, so that softer pads with higher compressibility provide larger RRs and visa versa. However, a softer pad experiences a greater shear force at the leading edge of the substrate during polish, since on a micro-scale, the pad asperities in the substrate/pad region get collapsed thus increasing the shear force and the COF thereby. Stress induced defects in substrates can be reduced by lowering the COF through lowering the effective shear force acting at the wafer/slurry/pad interface. Further, dishing and erosion are caused by pad flexing and abrasive gouging. It is clear that the compliance of the pad material and slurry rheology (e.g. abrasive concentration, pH and temperature) are factors impacting effective CMP processing.

Several methods for casting and molding are appropriate for the fabrication of various customized polishing pads in situ as a single, unitary structure. One method for mixing monomers and/or polymer precursors is described in copending U.S. application entitled “In Process Local Transparent Area Generation Within a Polymeric Pad for Optical End Point Detection,” by Manish Deopura, Mohammed Nasrullah, Pradip K. Roy, and Hem M. Vaidya, filed on even date herewith, the contents of which are incorporated by reference as if fully put forth herein. Some exemplary fabrication methods for casting and molding polishing pads as a single, unitary structure, which fabrication methods additionally allow for the spatial control of physical features designed into the pads, are included in the following discussion.

Liquid Casting of Polymers

Liquid casting of polymers can be used to make customized CMP polymer pads. Liquid casting is a manufacturing technique suitable for fabricating polymer parts. Shapes like polymer disks can be made using this technique, and hence polymer pads for chemical mechanical planarization can be fabricated using liquid casting. Additionally, liquid casting allows for spatial control of the pad material properties during fabrication. In using this process to make a polymer pad for CMP, a mold with the appropriate dimensions is first made. Then, depending on whether or not the polymer is being cured in situ, the appropriate materials are poured inside the mold. In the case where the polymer is not already cured, the appropriate monomers, crosslinking agents, pore-forming agents, initiators and catalysts are added to the mold and the reaction taken to completion after reaching a certain temperature. Using liquid casting, once a first layer or section is poured and pre-cured, a second layer or section can be poured if desired.

Multiple Injection Molding

Another variation of the process for making customized pads comprises a process known as multiple point injection molding, which is also called co-injection sandwich molding. Multiple injection molding is a sequential process in which two or more polymeric materials are utilized, with each of the materials is injected into the mold at a different time. This method may be used to form customized pads with two or more layers, as well as having different areas across the diameter of the pad. Further, this method may be used to achieve any spatially designed pattern from the simplest, most well defined annular patterns to the most complex and random of patterns, either in a single layer or multiple layers.

Multiple Live-Feed (or in situ) Injection Molding

Molds including multiple in-situ injection ports may be used to make customized pads. In this method a mold is selected having at least two ports, generally independent, for injection of polymer. At least two different polymers are injected through the ports during the same injection step, often at the same time, to fill the mold. Depending on the spatial variation designed for customized pads, the usual polymer engineering calculations may be used to calculate the fluid flow and heat transfer needed for selection of appropriate injection points and of injection flow rates for the different polymers and materials being fed into the mold. In this fashion, it is possible to fabricate customized pads having two of more layers, as well as having different areas across the diameter of the pad.

Gas Assisted Injection Molding

A method to produce customized pads having included microporosity may include a gas during the injection molding step to achieve variation of porosity in the customized polishing pad. Gas may be dispersed into and injected into the mold from different ports with different flow rates in order to attain spatial grading within the pad. The resulting pad will contain differing amounts of included gas at differing points and achieve a difference in hardness and/or density. A variety of methods for controlling the porosity, and the spatial distribution thereof will be discussed subsequently.

Reaction Injection Molding (RIM)

Particular polymeric systems (e.g., polyurethanes) are amenable to molding steps using the RIM techniques. In this molding process, instead of injecting previously synthesized polymers, the constituent monomeric materials and appropriate crosslinking agents (e.g., glycerol) as well as the initiating agents and chain extenders are added and the resulting mixture is polymerized while molding. To make customized pads, multiple ports are used to inject two or more types of monomeric units (and corresponding chain extenders), as well as other selected materials, such as pore-forming agents and solid lubricants that will be discussed subsequently, to achieve spatial variation in chemical structure. Gradation in chemical composition of the polymers and other materials will result in spatial variation of the mechanical and physical properties. By differentially adding the various materials to the mold, this method may be used to produce customized pads in which properties vary substantially from one layer or region to the next, or gradually from one layer or region to the next. In this fashion, RIM may also be used to make customized pads with uniform properties in a plane across the diameter of the pad and/or across the depth of the pad.

Lamellar Injection Molding

By using mixtures of polymers that have been previously extruded, perhaps in layers, in an injection molding procedure such as those discussed above, customized polishing pads having spatial variation of properties may be produced. This way of producing simple physical mixtures of polymers is direct and easily applied to changing demands upon a producer. The resulting spatial variation of properties will be according to the mechanical and physical characteristics of the individual polymers, as well as other selected materials that may be added to the continuous polymer phase. This method be used to create microdomain gradation in either horizontal or vertical regions or layers.

Microcellular (Mucell Molding)

In this technique the polymer fluid being molded is mixed with gas in order to form a solution mixture. Utilizing two or more such solutions with different chemistries will lead to a spatial variation of physical properties.

A variety of materials are contemplated for use in the fabrication of the subject customized polishing pads. Though the pads are substantially polymeric, other materials, such as pore-forming materials, and solid lubricants, may be added into the continuous polymer phase. As will be subsequently discussed, the material selection is made so that the customized pads have the appropriate physical properties spatially distributed within the pad. Examples of such physical properties include hardness, porosity, toughness, and compressibility.

What is meant by hardness is a measure of a material to resist localized plastic deformation. Plastic deformation is the inability of a material to resist deformation, so that a force applied to a material that is plastically deformed results in a permanent change in shape, and the work done on the material is not convertible. Hardness has been variously defined as resistance to local penetration, scratching, machining, and abrasion, for example. This is an indication that hardness is not a fundamental property of a material, but a property that includes yield strength, tensile strength, modulus of elasticity, and others. In that regard, the testing of hardness is an empirical test, with values obtained from empirical scales, which values cannot be converted directly to other physical properties. The two most commonly used tests of hardness are the Rockwell and the Shore, each of which has its own scale of hardness. There are two Shore scales, Shore A and Shore D. Shore A is generally used for softer polymers, such as rubbers, while the Shore D scale is used for harder polymers, such as polypropylenes, polystyrenes, polyamides, polycarbonates, and polyurethanes, for example.

What is meant by the porosity of a material is the total volume occupied by pores (i.e. void space) in the material as a ratio to the total volume of the material. Porosity is a fractional unitless value, expressed as percent. The total volume occupied by pores is determined by the size of the pores (i.e. average pore volume), and density of pores (i.e. average concentration, or average number of pores per unit volume of material). For example, given material A having an average pore size greater than the average pore size of material B, with both having equal density, then material A has a greater porosity than material B. Additionally, as will be subsequently discussed, it is desired that there be control of the distribution of porosity in some of the subject customized pads, so that the size and density of pores from one region of a pad to another is selectively designed and fabricated in the pad in a controlled fashion.

Toughness and compressibility are also examples of physical properties that are spatially varied in the subject customized pads. What is meant by toughness is a physical property of a material defined as the ability of the material to absorb energy without breaking. Toughness is related to the integrated area under a stress-strain curve, and also measured by energy absorbed in impact testing. The units are generally those of energy. What is meant by compressibility is broadly defined as the incremental change in volume of a material as a ratio of the total volume and the applied pressure, and has units of inverse pressure. The bulk modulus is the inverse of the compressibility, and has units of pressure.

As has been previously mentioned, the subject customized polishing pads are typically made from polymers. What is meant regarding the use of terms referring to macromolecules of the polymer class, as well as materials made from such macromolecules, such as blends, complexes, networks, composites, grafts, and laminates, and the like, are in accordance with the IUPAC usage of such terms, which terms and usage are readily accessed in the official publication of IUPAC, Pure and Applied Chemistry. As pointed out therein, the word “polymer” in conventional usage as a noun is ambiguous; since it is commonly employed to refer to both polymer molecules and polymer materials. Herein, the term “polymer molecule” is used for individual macromolecules, while “polymer” is used to denote a substance composed of polymer molecules. “Polymer” may also be employed unambiguously as an adjective, according to accepted usage, e.g. polymer blend, polymer composite, etc.

Polymers suitable for fabricating the described polishing pads include a wide variety of materials made from a wide variety of polymers. Examples of polymers contemplated for fabrication of the various customized polishing pads disclosed herein are drawn from classes of polyurethanes, polyureas, epoxide polymers, phenolic polymers, phenolic polymers, polycarbonates, polyamides, polyimides, polyesters, polysulfones, polyacetals, polyacrylates, polystryrenes, polyarlyetherketones, polyethyleneterephthlates, polyvinyls, polypropylenes, polyethylenes, polysilanes, and polysiloxanes. Further, polymers suitable for various customized polishing pads disclosed herein may be copolymers, blends, complexes, networks, composites, grafts, and laminates, and the like, of members selected from the exemplary classes of polymers. Other polymers suited for use in pads may be used, as would be clear to one of skill in the art.

Formulations using these materials necessarily involve some understanding of the relationships between the structure of the macromolecules and the resulting physical properties of the polymer material used in the pad. Examples of such properties include, but are not limited to hardness, toughness, porosity, compressibility, and the like.

For instance, polymers having a significant scientific, engineering, and commercial history for CMP polishing pads include polyurethanes, polyureas, and copolymers thereof. The preparation of such polymers is done using starting materials such as isocyanates, polyols, and polyamines, as well as chain extenders, and crosslinking agents etc. The reaction of a polyol with a diisocyanate forms the constitutional unit for species of polyurethane polymers. For polyurethanes, minimally a diol is required, with three or more hydroxyl groups in a polyol providing reactive sites for crosslinking. In such a case, examples of diisocyanate crosslinkers are toluene-diisocyanate (TDI), diphenylmethane-diisocyanate (MDI), and polymethylene polyphenyl isocyanate (PAPI). The type of crosslinking agent and extent of crosslinking of polymer chains has an impact on material properties, such hardness, toughness, and porosity, for example. The size and molecular weight of the hydrophilic molecules like polyamines and polyols impact material properties such as flexibility, melt temperature, and surface energy, for example.

The manner in which the polymer is prepared prior to molding or casting may have an impact on the polishing pad properties, and the consistency thereof. For example, there are two well-known approaches for formulating polyureas and polyurethanes, known as the one-shot and the two-shot techniques. In the one-shot technique, all the reaction components (e.g., a long chain diol, a diisocyanate, and, as needed, a chain extender) are reacted together. Such a process is difficult to control, due to factors such as varying local concentrations of reactants, and uneven local thermal gradients, which can result in widely varying polymer product characteristics. In the two-shot technique, the isocyanate is pre-reacted in a first step with the long chain diamine/diol to form a high molecular weight prepolymer. This functionalized prepolymer is then further reacted with diamine/diol curatives or chain extenders to complete the polyurea/polyurethane formation. This process is more easily controlled but requires higher processing temperatures often in the neighborhood of 100° C. When a highly consistent material is required, a process lending itself to such consistency is desired.

Block Copolymers

A block copolymer system may be used to produce a graded pad. FIG. 5 shows a phase diagram portraying, in a functional way, the relationship between the block copolymer composition (as the relative % constituents) and the crystal structure (BCC, HCP, etc.) of the final product. To attain a gradation in properties, the composition of the block copolymer is gradually changed. Hence, in a controlled fashion, the difference in geometry is achieved in the block copolymer by spatial variance. Because the geometry and the % of (A or B) is changing spatially, as well as the fact that A and B are different units, the variance provides a gradation in physical properties such as hardness, toughness, porosity (by solution removal of A or B), compressibility and asperity. Molecular units suitable for these procedures involving block copolymers include such materials as styrene, isoprene, butadiene, urethanes/urea, long-chain diols and diamines, etc.

As has been previously discussed, one exemplary property having an impact on the uniform performance of CMP in the processing of semiconductor wafers is porosity. Lack of control of pad porosity; i.e. in controlling the size and density of pores, and distribution of pore size and density within a pad, has an impact on factors such as the slurry transport, and abrasive distribution, which in turn has an impact on key metrics of uniform performance of CMP, such as removal rate (RR), and the number of within wafer non-uniformities (WIWNU). Additionally, it is further observed that pads fabricated without control of porosity have a non-uniform shear force, and therefore a non-uniform COF over the entire process range. The non-uniformity of shear force has an impact on two additional metrics of CMP performance, planarity and defectivity.

Various customized polishing pads disclosed herein are fabricated so that the porosity formed in the subject pads are highly controlled with respect to porosity, i.e. pore size, and pore density, and the distribution of porosity. FIGS. 6a-6c depict how the pore-forming material or agent can be used to create uniform pore size, pore density, and distribution in a matrix. In these examples, the pore-forming material or agent has the property of being conformable to external conditions, such as temperature or pressure. Initially, the pore-forming material or agent is added to the matrix, and then by applying heat, for example, in a highly controlled fashion, the material or agent expands to a desired porosity. The pore density, in such as case, can be controlled by the amount of pore-forming material or agents added to the matrix, where, typically, the matrix is polymeric.

A variety of materials and agents are contemplated for use, which agents and materials in conjunction with fabrication means that provide for the spatial control of porosity designed for the subject polishing pads, yield a variety of customized polishing pads with desired uniform performance of the CMP process. In some variations of the subject customized polishing pads, the pore size range is from about 20 nm to about 20 μm, while in other variations of the subject customized polishing pads, pore size range may be from about 50 nm to about 15 μm, and in still other variations of the subject pads, the pore size range may be from about 100 nm to about 10 μm. The pore density variation of the subject customized polishing pads is determined by the concentration of the materials and agents added to the polymer before casting or molding. It is contemplated that the pore size and pore density can be varied so that the porosity of the subject polishing pads will have porosities of between about 5% to about 20%. Finally, the distribution of porosity is controlled by the controlled addition of the pore-formning materials and agents during the fabrication of the subject customized polishing pads.

It is contemplated that a variety of materials may be useful for generating pores in a controlled fashion within the polymer matrix during fabrication. Some exemplary materials include foaming agents, chemical blowing agents, supercritical fluids, block copolymers, micelles, and porogen materials, are discussed below.

Microballoons

Microballoons, such as Expancel materials are usually spherical balls in the size range of 10-100 μm made from polymers, for example such as PVDF, which have gas, for example such as iso-butane gas, encapsulated within the sphere. When these materials are added to the polymer melt prior to molding, the gas within expands to a desirable size through the controlled application of heat, which is then held constant through the thermosetting stage, yielding porosity of controlled pore size. There is a great deal of control of pore size using such microballoon materials. The pore density is controlled by the quantity of microballoons added. The distribution of porosity; i.e. the pore size and pore density, may be controlled in the pad fabrication processes, such as casting, RIM and injection molding, etc., which have discussed previously discussed. In FIG. 7a photomicrographs taken from samples the subject controlled porosity pads made using microballoons show the highly uniform spherical shape, and pore size created using such microballoon materials.

Chemical Blowing Agents

Chemical blowing agents, for example Hydrocelrol, sodium bicarbonate, which upon heating produces carbon dioxide, and complex salts, which lead to generation of nitrogen gas are added into the polymer batch. On heating the polymer these chemical blowing agents decompose to give gases which lead to formation of pores in the molded part. By carefully controlling process parameters, such as concentration of the chemical blowing agent, distribution of the chemical blowing agent, rate and duration of heating, etc., the porosity and distribution thereof can be carefully controlled in the material. Other examples of blowing agents include solids which can be leached using solvents after molding of the polymer. In FIG. 7b, photomicrographs are presented of samples taken from the subject controlled porosity pads fabricated using a chemical blowing agent, such as hydrocelrol.

The uniformity of the porosity so formed in these examples of customized polishing pads using microballoons and chemical blowing agents (FIGS. 7a and 7b, respectively) is compared to photomicrographs taken from samples of commercially available pads (FIGS. 7c and 7d). In comparison, it is clear that the porosity of the subject controlled porosity pads fabricated using exemplary pore forming agents is more highly uniform that the commercially available pads.

Supercritical Fluids

In the Mucell process, supercritical gas is dissolved within the polymer feed to create a single phase solution. Once this polymer feed is allowed to cool down within the mold the gas forms microscope bubbles, ranging from size scales of 0.1-10 μm. Such a method can be used with great precision to generate pores within polymeric parts and therefore within the CMP pads

Micelles

Micelle structures can be introduced within the polymer feed stream. Such micelles (liquids or solids) can then be leached out using selective solubility, leaving porous regions within the polymer matrix.

Porogen Material

Porogen materials can be added to the matrix of polyurethane while making the polymer pads. These porogen materials are made of another polymer for example polystyrene which, has a low degradation temperature. So after the desired amount of the porogen material is added to the polyurethane matrix the porogen material can be removed by heat treatment of the entire pad.

Examples of an aspect of customized pads having controlled porosity which have been described above have been fabricated and tested for use in inter-layer dielectric CMP. Description of exemplary methods of fabrication and comparison of some key aspects of CMP performance for the subject pads compared to commercially available pads is provided below.

Prestonian plots are presented for two of the subject pads (FIGS. 8a and 8b) in comparison to two commercially available pads (FIGS. 8c-8d). During polishing of the interlayer dielectric layers, the RR data as a function of varying pressure and velocity is obtained. As previously mentioned, a straight-line relationship is expected for ideal Prestonian behavior. Comparing these plots for the subject pads (FIGS. 8a and 8b) to the commercially available pads (FIGS. 8c and 8d) one finds that the commercially available pads do not show the high degree of linearity in comparison to the subject pads. The major difference between the subject pads and the commercially available pads is that the subject pads are made in a fashion that controls the porosity of these pads via fabrication methods as discussed previously

Stribeck curves for two examples of customized pads having porosity carefully controlled, in comparison with two commercially available pads are presented in FIGS. 9a-9d. As previously discussed, a constant relationship is obtained in the desired boundary lubrication regime. For a variety of customized pads having porosity carefully controlled, such as for those in which the results are shown in FIGS. 9a and 9b, it can be seen from these graphs that highly uniform boundary lubrication behavior is obtained. In comparison, the commercially available pads (FIGS. 9c-9d), the commercially available pads show deviation from the ideal boundary lubrication behavior. As noted above in the discussion of the data for the Prestonian plots, the major difference between the subject pads and the commercially available pads is that the subject pads are made in a fashion that controls the porosity of these pads

One family of customized polishing pads contemplated herein is the family of functionally graded polishing pads. Such pads are comprised of a customized polishing pad having a polishing surface for polishing a substrate that is one piece, substantially flat, and comprises at least two areas having differing physical characteristics. The at least two areas may have discrete boundaries or boundaries that are formed of mixtures of constituent polymers. The at least two areas may each comprise a compositionally different polymeric material and the region between the areas may comprise mixtures of the compositionally different polymeric materials. Functionally graded polishing pads have been described in detail in PCT application number PCT/US2004/17638, entitled, “Synthesis of a Functionally Graded Pad for Chemical Mechanical Planarization,” which application is incorporated herein by reference.

An example of a procedure for synthesizing a two-area graded pad where two different polymeric compositions are used, one for each area, is this. As shown in FIG. 10, a first outer annular ring of the pad is molded using an injection molding process. The completed outer ring is then placed in a second mold and the center of the pad ring is then filled with a second polymeric material. Therefore, in the first and second molding steps, two different materials are used so that in the resulting pad there are two distinct regions or areas having different physical properties. Proper bonding at the interface between the two materials may require selection of materials that are compatible with each other. Such information is readily available in the open literature. Interfacial compatibility between two polymers is usually good.

FIGS. 11 and 12 show more complex patterns as may be made using this process. The crosses shown in FIG. 11 (as region 2) are fenced by cross shaped forms or dividers so that neither polymer invades the other's space. The cross-shaped molds or forms are removed from the partially molded pad after the one or the other of the regions is filled.

FIG. 12 shows a non-regular set of patterns defining, on an exemplary subject customized functionally graded polishing pad 200, having a variety of chosen areas such as ovals (202, 204, 204) and flags (208). In each of the noted areas, the respective polymers may each be a different polymer of the types discussed above or at least two differing ones. Again, such patterns may be achieved by using appropriate mold geometries.

FIG. 13 shows an exemplary customized functionally graded polishing pad 200, which is a continuously graded pad made using a fabrication process in which a first polymer is injected from the outer periphery 212 of a mold while simultaneously injecting a second polymeric material from the center 214

Another family of customized polishing pads contemplated herein is the family of low shear integral pads. Customized low shear polishing pads are multilayer or integral pads that are made of at least two materials having properties selected so that the interface between the two layers acts as a stress sink to reduce the COF at the pad/substrate boundary. What is meant by interface is taken from surface and colloid science to mean a separating region between two condensed phases, in this case, two nongaseous condensed phases, such as liquid/solid, or solid/solid. In the subject customized low shear integral pads, the physical properties of an interface are selected by selecting the physical properties of the two material layers by which the interface is bounded. Examples of such physical properties include hardness, porosity, toughness, and compressibility.

In FIG. 14a, a two layer customized low shear stress pad 300, having layers 302 and 304, with interface 303, is shown. Since an interface, such as the interface 103, is by definition a separating region bounded by two condensed phases, then an integral pad with one interface would have two material layers, while a pad with two interfaces would have 3 material layers, and an integral pad of N material layers would have N-1 interfaces.

This is evident in the subject low stress pad shown in FIG. 14b. In this exemplary pad 300, there are five layers of materials 302, 304,306, 308, and 310, and four interfaces, 303, 305, 307, and 309. The material layers 302, 304,306, 308, and 310, have different physical properties, such as a hardness of between about 30 Shore D to about 90 Shore D, and a porosity of between about 5% to about 20%. Additionally, toughness, and compressibility are physical properties that may be varied. The four interfaces 303, 305, 307, and 309, formed thereby act as a stress sinks, and effectively lower the shear force, and hence the COF. The material layers 302, 304, 306, 308, and 310 may be the same kind of polymer adjusted so that specific physical properties of the layers are different, or they may be each a different kind of polymer, each with specifically selected physical properties, or combinations thereof.

This effect of an interface with properties selected by the different properties of the material layers by which it is bounded, so that the interface acts as a stress sink is shown for a one layer pad 100 in FIG. 15a versus a customized low shear integral pad 300 shown in FIG. 15b. In FIG. 15a, the shear force, S, at the pad/substrate boundary, 104, is orthogonal to the applied normal force, N, on the substrate 102. In the single layer pad 106, there is no interface selected to act as a stress sink. In FIG. 15b, the multilayer pad 300, polishing substrate 302; the pad having layers 306 and 308, is designed with interface 307, where the shear force S2 results at the interface 307 between pad layers 306 and 308. As a result of the shear force S2 at the interface 307 of the low shear integral pad 300, the shear force S1 at the pad/substrate interface 304 is reduced, so that S1 is considerably less that S. Subsequent explanations of data generated using an exemplary customized low shear pad having an interface acting as a stress sink will make this apparent.

As an example, a multilayer customized low shear pad, such as that shown in FIG. 14b, is one in which a top layer 102, has a hardness of 50 Shore D, and a porosity of 20% This is followed by pouring a second polymer layer 104, having a hardness of 60 Shore D, and a porosity of 15%., which is followed by pouring polymer layer 106, having a hardness of 60 Shore D and a porosity of 10%, followed by pouring a polymer layer 108, having a hardness of 70 Shore D, and porosity of 10%, and finally, a layer 110, having a hardness of 70 Shore D and porosity of 5%. An integral pad so formed has a thickness of at least about 80 to about 120 mil. The first layer 102 can have a groove pattern formed as shown in FIGS. 14a and 14b.

Still another family of customized polishing pads contemplated herein is the family of subsurface engineered pads. Various subsurface engineered pads described herein, have properties imparted through a combination of the structural properties designed into the polymer pad, in combination with the dispersion of a solid lubricant within at least 5% of the depth of the pad, measured from the polishing surface. The use of pads with solid lubricants dispersed in the subsurface region effectively minimizes shear force, without sacrificing RR, and results in reducing or eliminating the strain hardening of copper thereby. Furthermore, customized subsurface engineered pads may be used for all processing steps in Cu CMP; the bulk, the soft landing and the barrier removal steps. Particularly, the impact of the single pad solution for Cu CMP is to reduce the cost of consumables (COC), so as to make the cost of ownership (COO) for processing the sub-90 nm technologies attractive.

As shown in FIG. 16, copper has a very high strain before failure occurs. In the case of strain-induced defects of dielectrics, the natural bonding characteristic of the material leads to brittle fracture. Such brittle fracture occurs at fairly low strain values, for example <2%. Due to the high strain value required to break copper, several issues need to be addressed for Cu CMP. The first issue is the selective elongation of the material in the regions which are stressed leading to plastic deformation. As such this induced plastic deformation is a permanent deformation leading to long-term stress. Under conditions where selective elongation occurs due to the contact of regions of copper with the polishing pad, such regions will be plastically deformed and will have properties different from the inner copper regions. The second issue is the localized strain hardening of copper, which results just before fracture. Finally, copper residues may also be left behind depending on how the pad interacts with the copper layers.

Minimizing stress incorporation can be attained through lowering the COF by lowering the effective shear force acting at the wafer/slurry/pad interface. For CMP in general, uniformity of pad properties, such as pad modulus, pore size distribution, and the chemical structure of the material, are known to be important in proving CMP processes operating in the highly stable boundary lubrication regime. Additionally, in order to achieve uniformity for Cu CMP processes, there is a requirement for significant reduction in shear force in order to reduce or eliminate stress-induced defects. In order to reduce the shear force, a high degree of lubrication uniformity is also required. For various customized subsurface engineered polishing pads described herein, the use of pads with solid lubricants dispersed in the subsurface region effectively minimizes shear force, without sacrificing RR, and results in reducing or eliminating the strain hardening of copper thereby.

A solid lubricant is a material, such as a powder or thin film, which is used to provide protection from damage during relative movement and to reduce friction and wear. Some important characteristics of solid lubricants include thermally stability, chemically inert and nonvolatile, and mechanically stable, but having a hardness not exceeding about 5 on the Mohs' scale. Solid lubricants meeting these criteria have the advantage over other types of lubricants generally due to greater effectiveness at high loads and velocities, high resistance to deterioration, and high stability in extreme temperature, pressure, radiation, and other reactive environments. There are many classes of solid lubricants that include inorganic solids, polymers, soft metals, and composites of materials represented in these classes.

In addition to these general properties of solid lubricants previously mentioned, solid lubricants contemplated for use in the subject pads typically have a coefficient of friction of between about 0.001 to about 0.5, and particle size of between about 10 nm to about 50 μm. It is further contemplated that various customized pads would be fabricated having at least one solid lubricant in a concentration of about 1 wt % to about 20 wt % in a range of at least about 5% of the pad depth from the polishing surface. Further, the method of fabrication is selected so that in various customized polishing pads the at least one solid lubricant may be spatially distributed with respect to the type, concentration, and particle size.

Examples of inorganic solid lubricants having the desired properties recited above include lamellar solids, such as graphite, graphite fluoride, niobium sulfide, tantalum sulfide, molybdenum sulfide, tungsten sulfide, magnesium silicate hydroxide (talc), hexagonal boron nitride, and cerium fluoride. Such lamellar solids are crystalline solids layered in sheets, in which slipping planes occur between the sheets. Other inorganic solids that are suitable as solid lubricants include calcium fluoride, barium fluoride, lead oxide, and lead sulfide. Though not lamellar in structure, such solid lubricants have surfaces that slip easily along one another at the molecular level, thereby producing lubrication at the macroscopic level.

Examples of polymeric solid lubricants include: 1.) Polyhalogenated hydrocarbons, such as PTFE, and related members. 2.) Polyamides, such as nylon 6,6 and related members. 3.) Polyarylketones, such as PEK (polyether ketone), PEEK (polyetheretherketone), PEKK (polyetherketoneketone) and PEKEKK (polyetherketoneetherketoneketone). 4.) Boron nitride polymers, such as PBZ (poly(p-borazylene)) or PVZ (poly(p-vinyleneborazylene)). Such polymeric solid lubricants generally have low surface energy, are stable as unflocculated dispersion, have low coefficients of friction, and are thermally and chemically stable. For example, PTFE has substantially small static and dynamic coefficients of friction at about 0.04, is known to be chemically inert, and is stable to about 260° C. Like the calcium fluoride family of inorganic solid lubricants, the polymeric solid surfactants have surfaces that slip easily over one another.

Other solid lubricants contemplated for use include a variety of materials with suitable properties formed into nanospheres, nanotubes, or other nanoparticle structures useful for lubrication. As an example, such nanospheres of carbon, are known as buckminsterfullerenes, or “buckyballs.” A variety of solid lubricant materials, for example inorganics, such as molybdenum sulfide, tungsten sulfide, or polymeric materials, such as PTFE or boron nitride polymers, can be made into nanostructures useful as solid lubricants. Since such structures generally have nanopores, they can include other solid or liquid lubricants, creating solid lubricants with a variety of properties. Additionally, solid lubricants made from polymeric, blends, networks, composites, and grafts, of polymer and copolymer molecules as well as composites and grafts made from inorganic and polymeric solid lubricants are also possible.

Many methods for molding polymeric materials are suitable for making various customized polishing pads having desirable physical properties. In addition to families of the previously described customized functionally graded, low shear integral and subsurface engineered pads, it is contemplated that a variety of families of the customized polishing pads are possible. This is due to the various combinations of customized functionally graded, low shear integral, and subsurface modified pads that are possible.

For example, it is contemplated that one additional family including a variety of customized polishing pads results in combining aspects of customized functionally graded pads in combination with aspects of low shear integral pads. Another example of an additional family including a variety of customized pads results from combining aspects of customized functionally graded pads with aspects of customized subsurface engineered pads. Additionally, it is contemplated that still another family of customized polishing pads arises from aspects of low shear integral pads in combination with aspects of subsurface engineered pads. Finally, another family contemplated that includes a variety of customized graded pads combines aspects functionally graded pads, low shear integral pads, and subsurface engineered pads.

In that regard, combinations of customized pads having spatial variation of physical properties in a polishing surface that is one piece, substantially flat, and comprises at least two areas having differing physical characteristics, with customized pads having at least two materials having properties selected so that the interface between the two layers acts as a stress sink, with customized pads having at least one solid lubricant dispersed in the polymeric phase, are contemplated.

Examples of various customized pads were fabrication and tested in comparison to commercially available pads. The results are described in the following.

Low Shear Integral Pad

The results for a two layer integral pad, having one interface acting as a stress sink, are compared to a commercially available single layer pad with no interface acting as a stress sink. Two commercially available slurries, slurry A (FIGS. 17a-c) and slurry B (FIGS. 18 a-c), were used in the comparisons. These results are compared for STI polishing steps shown in FIGS. 17a and 17b, and FIGS. 18a and 18b, which show the comparison for the Preston constant, as an indicator of RRs vs. the COF. The comparison is done for both oxide (17a and 18a) and nitride (17b and 18b), and the selectivity is compared for the two pads (FIGS. 17c and 18c).

In FIG. 17a, using slurry A, it is shown that the COF for the integral pad is close to half that of the conventional pad whereas the removal rate is maintained at about the same level for oxide polishing. Similarly in FIG. 17b, which shows the results for nitride processing, the COF of the integral pad is about 33% less than that of the single layer pad whereas the removal rate is approximately the same for each pad. FIG. 17c demonstrates that the selectivity of the integral pad is comparable to the conventional pad.

Similarly, in FIGS. 18a and 18b, using slurry B, it is shown that the COF for the polishing of both the oxide and the nitride using the integral pad is about 20% less than that of the conventional pad whereas the RR is comparable. FIG. 18c demonstrates that the selectivity of the integral pad is comparable to the conventional pad.

These results demonstrate that examples of the subject integral pad that were fabricated and tested having at least one interface acting as a stress sink reduced the COF, while maintaining desired RRs.

Subsurface Engineered Pad

Using boron nitride as an exemplary solid lubricant, various customized subsurface engineered pads were made and some were performance tested under controlled conditions in comparison to commercially available pads. Additionally, copper lines in wafers subjected to the performance testing were analyzed using x-ray diffraction (XRD) and compared to unprocessed wafers to monitor whether or not substantial changes in the copper had occurred, due to stress.

In FIG. 19, the Stribeck curves are shown for two commercially available pads A and B, and an example of the subject customized subsurface engineered pads. For the subject subsurface engineered pad, generally uniform lubricating behavior is observed, indicating operation in the desired boundary lubrication regime. In comparison, the Stribeck curves for the two commercially available pads A and B do not show a linear trend expected for performance in the desired boundary lubrication regime The major difference between the subject customized pad used for generating the data shown in FIG. 19 and the commercially available pads is the difference in the uniformity of pore sizes, and the addition of a solid lubricant in the subsurface region of the pads. The combination of the pad properties, and the solid lubricant, decreasing the shear force yields a desirable result, as shown in the Stribeck curves.

FIGS. 20a and 20b, XRD data are displayed, in which the relative angular peak positions from different angles of scatter for copper are plotted for an unprocessed wafer (BULK), the wafers processed using two commercially available pads, A and B, and one of the subject customized subsurface engineered pads. If the angular peak positions for the processed copper are significantly different from the unprocessed wafer, this is an indication of a substantial change in the material state of copper. More specifically, the peak positions for the 222 peak and the 311 peak are most relevant. In general, through inspection of FIGS. 6A and 6B it is observed that the peak positions these two peaks for a subject customized subsurface engineered pad compare favorably with the unprocessed wafer. In comparing FIG. 20a and FIG. 20b, it is interesting to note the impact of the selection of slurry in combination with the selection of pad on the CMP process

In FIGS. 21a and 21b, the lattice constants generated from the XRD data are compared for the unprocessed wafer (BULK), and for wafers processed using the commercially available pads A and B, as well as for a subject low shear integral pad, a low shear customized pad in combination with a subsurface engineered pad, and a customized subsurface engineered pads. In FIG. 21a, commercially available slurry A is used, while in FIG. 21b, commercially available slurry B is used. The lattice constant is a fundamental property that gives the average distance between atoms in crystalline arrangements. If a material is fundamentally altered at the atomic or molecular level, shifts in lattice constant can be detected. It is clear from the lattice constant data that the copper in the wafer processed with the subject customized pads is comparable to the copper in the unprocessed wafer, indicating no substantial changes in the copper in wafers processed with the subject customized subsurface engineered pad have occurred. In contrast, the wafers processed with the commercially available pads A and B do not compare favorably with the control wafer, indicating that material changes in copper in the wafers processed using the commercially available pads have occurred.

In FIGS. 22a and 22b, the full width at half maximum height (FWHM) of the 222 peak, as well as lattice constants generated from the XRD data are compared for the unprocessed wafer (BULK), the wafers processed using commercially available pads A and B, as well as for a subject low shear integral pad, a low shear customized pad in combination with a subsurface engineered pad, and a customized subsurface engineered pads. In FIG. 21a, commercially available slurry A is used, while in FIG. 21b, commercially available slurry B is used. It is known that if the polishing process induces non-uniform strain on copper, the peak either narrows or broadens, and so FWHM is an indication of whether or not copper has undergone non-uniform strain during the polishing process. It can be seen in FIGS. 22a and 22b that both the low shear customized pad in combination with a subsurface engineered pad, and a customized subsurface engineered pad compare very favorable, regardless of the type of slurry with respect to alleviating non-uniform strain in copper.

In FIG. 23, the Stribeck curve data, and the Prestonian plot are compared for two of the subject customized subsurface engineered pads. The difference between the two subject pads is the amount of boron nitride. For the first pad, 5 wt % boron nitride is has been included in the pad, and in the second pad, 8 wt % boron nitride has been included in the pad. In the Stribeck curve, it is clear that both pads are operating in the preferred boundary condition regime, and appear to be equivalent in that representation. However, in the Prestonian plot, the RR for the pad having 8% of solid lubricant is significantly greater than for the pad having 5% solid lubricant. This clearly demonstrates how the addition of a solid lubricant in the subsurface of the pad can increase the removal rate while maintaining a low coefficient of friction. Taken with the XRD data, which supports that no significant damage has occurred to the copper structures in the wafer, this demonstrates the desirable features of the subject pads described herein. These features include a pad performing with low shear, and high removal rates, allowing for efficient processing of Cu CMP, without the undesirable stress-induced damage to the copper structures in the wafer.

Although exemplary variations of customized polishing pads have been described, various modifications of the subject pads described can be made without departing from the scope or spirit of what is disclosed herein. Disclosure of various customized polishing pads herein should not be construed to be limited by the specific examples and drawings described above. Moreover, one of skill in the art would realize a variety equivalent customized polishing pads that can be taken from such examples and drawings therefrom.

Claims

1. An article for polishing a substrate by CMP, said article comprising:

a polymeric polishing pad formed in situ and having:
a first region and a second region, wherein the first region has a polishing face of the pad and the second region is adjacent to the first region;
a shear relieving interface between the first and second regions; and
at least one solid lubricant dispersed within at least the first region.
Patent History
Publication number: 20060189269
Type: Application
Filed: Feb 18, 2005
Publication Date: Aug 24, 2006
Inventors: Pradip Roy (Orlando, FL), Manish Deopura (Sunnyvale, CA), Sudhanshu Misra (San Jose, CA)
Application Number: 11/060,898
Classifications
Current U.S. Class: 451/526.000
International Classification: B24D 11/00 (20060101);