Substrate processing method, substrate processing system and storage medium

[Subject In a plasma process using an ammonia gas after conducting a plasma process by using a process gas containing fluorine and carbon to a silicone-containing substrate, an ammonium silicofluoride having toxicity and water absorbancy is formed on the substrate. [Means for Solution]After conducting the plasma process using an ammonia gas, the substrate is heated to a temperature not lower than the decomposition temperature of the ammonium silicofluoride to decompose the ammonium silicofluoride in a process container in which the plasma process was conducted, or in a process container connected with the processing vessel which the plasma process was conducted therein and is isolated from a clean room atmosphere.

Skip to: Description  ·  Claims  · Patent History  ·  Patent History
Description
TECHNICAL FIELD

The present invention relates to a technique of removing ammonium silicofluoride formed on a substrate as a result of conducting a plasma process to the substrate.

BACKGROUND ART

Semiconductor device manufacturing includes a process of etching a substrate by using plasma. Processing techniques have become complicated more and more along with complexification of device structures and miniturization of patterns. Accordingly, in many cases, plural kinds of etching gases are used sequentially, for example, in the formation of recesses for burying interconnections and, accordingly, products comprising compounds maybe formed on a substrate and such products may remain on the surface of the substrate.

For example, in an etching process of forming recesses for burying Cu interconnections in an SiOCH film comprising Si (silicon), O (oxygen), C (carbon) and H (hydrogen) noted as an interlayer dielectric film of a low dielectric constant instead of silicon oxide films, a film of a compound of Si and C such as an SiC (silicon carbide) film is used in some cases as an etch stop film (so-called, etch stopper) for protecting the surface of the Cu interconnections against an etching gas for the SiOCH film. In a case of etching the SiC film, for example, by plasma of a CF gas, an Si-containing CF polymer is deposited on the surface of the Cu interconnection layer by the etching. Since the deposits cause increase of contact resistance, they have to be removed.

On the other hand, in a case of forming Cu interconnections by means of dual damascene, sacrificial films are often used for forming via holes connecting upper and lower layers and trenches as interconnection grooves for each layer simultaneously and an organic film is used as one of such sacrificial films. In a certain type of dual damascene, the organic film as the sacrificial film is sometimes etched succeeding to etching of the SiC film. In this case, since it is necessary to prevent oxidation of Cu interconnections exposed on the surface of the substrate, it is necessary to avoid the use of an etching gas containing oxygen, and a plasma process is conducted by using, for example, ammonia gas as an etching gas for the SiC film. With the use of the ammonia gas, the CF polymer described above is also etched simultaneously with the etching of the organic film, and this is an efficient process in this regard. Further, also in a case of removing only the CF polymer formed during the etching of the SiC film, it is more efficient to conduct the removing process (plasma process) using ammonia gas succeeding to the etching of the SiC film, compared with a case, for example, of conducting cleaning in a cleaning station.

However, when a plasma process using a CF series gas (etching of the SiC film in this example) is conducted, CF series deposits are deposited in the processing vessel. Thus, when a plasma process using an ammonia gas is conducted successively, the CF series deposits are decomposed by the plasma to release fluorine into the processing atmosphere and, as a result, an ammonium silicofluoride is formed on the surface of the silicon-containing film of the substrate, for example, over the whole surface of the substrate. Since the compound is toxic to human bodies, when the substrate is delivered to a working environment outside of the processing apparatus in a state where the compound is deposited on the substrate, this inevitably results in a worry of giving undesired effects on operators' health. Further, the compound is hygroscopic and may possibly absorb water of a high dielectric constant to increase the dielectric constant of the wafer, as well as it may possibly oxidize barrier metals or interconnection materials. Therefore, the compound has to be removed from the surface of the substrate.

Patent Document 1 describes a technique of cleaning a wafer by using water or alcohol by utilizing the hygroscopicity (water solubility) of the compound. However, if water or the like used for cleaning intrudes into the SiOCH film, this causes increase of the dielectric constant. Further, if the interconnection metal is exposed, the surface of the interconnection metal is oxidized.

Further, Patent Document 2 describes a method of cleaning ammonium silicofluoride deposited onto the inside of a chamber by using nitrogen trifluoride gas and oxygen gas, but does not describe removal of the ammonium silicofluoride deposited on the substrate.

[Patent Document 1] JP-A-2005-191275 ([0006], [0008] FIG. 2)

[Patent Document 2] JP-A-2005-85956 ([0041] to [0045])

DISCLOSURE OF THE INVENTION

[Problem to be Solved by the Invention]

The present invention has been accomplished in view of the foregoing circumstances, and it is therefore the object of the present invention to provide a technique capable of removing toxic ammonium silicofluoride formed on a substrate by a plasma process, thereby preventing an adverse affect on human bodies.

[Means for Solution of the Problem]

The substrate processing method according to the present invention is characterized by including:

a step of conducting a step (a) of applying a plasma process using a processing gas containing fluorine to a silicon-containing film on a substrate, and a step (b) of applying a plasma process using a processing gas containing nitrogen and hydrogen to the substrate in one identical processing vessel, thereby forming an ammonium silicofluoride; and

a step of subsequently heating the substrate at a temperature not less than a decomposition temperature of the ammonium silicofluoride in a processing vessel before placing the substrate in a clean room atmosphere.

Preferably, the step (b) is conducted after the step (a).

Preferably, the step (a) is a process for removing an etch stop film containing silicon and carbon formed on the surface of a metal interconnection formed on the substrate.

Preferably, the step (b) is a process for removing an organic dielectric film containing carbon formed on a layer above the metal interconnection and/or a process for removing an organic film formed as a by-product on the metal interconnection by the step (a).

Preferably, the step of heating the substrate is conducted in a processing vessel different from the processing vessel in which the step (a) and the step (b) are conducted.

Preferably, the processing gas containing fluorine is a gas containing fluorine and carbon.

Preferably, the processing gas containing nitrogen and hydrogen is ammonia gas.

The substrate processing system according to the present invention is characterized by including;

a plasma processing apparatus for conducting a step (a) of applying a plasma process using a processing gas containing fluorine to a silicon-containing film on a substrate, and a step (b) of applying a plasma process using a processing gas containing nitrogen and hydrogen to the substrate, and

a heating apparatus that heats the substrate in a processing vessel at a temperature not less than a decomposition temperature of ammonium silicofluoride in the processing vessel for decomposing the ammonium silicofluoride formed on the substrate by the plasma processes in the plasma processing apparatus.

Preferably, the plasma processing apparatus is configured so as to conduct the step (b) succeeding to the step (a).

Preferably, the processing vessel of the plasma processing apparatus and the processing vessel of the heating apparatus are different from each other, and the processing vessels are air tightly connected to a transfer chamber having a vacuum atmosphere and provided with substrate transfer means.

The storage medium according to the present invention is characterized by storing a computer program to be used for a substrate processing system for processing a substrate in a processing vessel, and to be run on a computer, wherein

the computer program is incorporated with steps for practicing the foregoing substrate processing method.

EFFECT OF THE INVENTION

According to the present invention, since the heat treatment is applied to the substrate, after applying a plasma process to the substrate having a silicon-containing film formed thereon which results in formation of an ammonium silicofluoride on the substrate and before placing the substrate to the surrounding atmosphere, so as to remove the toxic ammonium silicofluoride, it is not possible that human bodies are adversely affected, and the ammonium silicofluoride can be removed conveniently and simply.

BEST MODE FOR CARRYING OUT THE INVENTION

An example of a substrate processing system for conducting a substrate processing method according to the present invention will be described with reference to FIG. 1. A substrate processing system 11 shown in FIG. 1 is called a cluster tool or a multi-chamber for conducting plasma processes and a heat treatment to be described later, and includes carrier chambers 12a to 12c, a first transfer chamber 13, load lock chambers 14 and 15, a second transfer chamber 16, plasma processing apparatuses 51 to 53, and a heating apparatus 54. Further, an alignment chamber 19 is provided on a lateral side of the first transfer chamber 13. The load lock chambers 14 and 15 are provided with not illustrated vacuum pumps and leak valves so that the atmospheric atmosphere and a vacuum atmosphere can be switched.

The first transfer chamber 13 and the second transfer chamber 16 are provided therein with first transfer means 17 and second transfer means 18, respectively. The first transfer means 17 is a transport arm for transferring a wafer W between the carrier chambers 12a to 12c and the load lock chambers 14 and 15 and between the first transfer chamber 13 and the alignment chamber 19, and is movable in the right-to-left direction of the drawing. The second transfer means 18 is a transport arm for transferring a wafer W between the load lock chambers 14, 15 and the plasma processing apparatuses 51 to 53 and the heating apparatuses 54, and constituted such that arms, and is configured such that two arms thereof can rotate about an axis located substantially at the center of the second transfer chamber 16 and can also expand and contract.

As shown in FIG. 2, the plasma processing apparatus 51 has a processing vessel 21 comprising a vacuum chamber, a mount stage 3 disposed at the center on the bottom of the processing vessel 21 and an upper electrode 4 disposed at a top region of the processing vessel 21.

The processing vessel 21 is electrically grounded. An exhausting device 23 including a vacuum pump, etc. is connected through an exhaust pipe 24 to an exhaust port 22 at the bottom of the processing vessel 21. A transport port 25 for a water W is disposed in a wall of the processing vessel 21. The transport port 25 can be opened or closed by a gate valve 26.

The mount stage 3 comprises a lower electrode 31, and a support 32 for supporting the lower electrode 31 from below, and is disposed at the bottom of the processing vessel 21 via an insulating member 33. An electrostatic chuck 34 is disposed at an upper part of the mount stage 3. The wafer W is electrostatically held on the mount stage 3 by application of a voltage from a high voltage DC power source 35.

A temperature control flow channel 37 through which a predetermined temperature control medium passes is formed in the mount stage 3, and the temperature of the water W is controlled to a desired temperature by the temperature controlling medium.

A gas flow channel 38 for supplying a heat conductive gas such as He (helium) gas as a backside gas is formed in the mount stage 3, and the gas flow channel 38 opens into the upper surface of the mount stage 3 at plural locations. The openings are in communication with through holes 34a disposed to the electrostatic chuck 34.

The lower electrode 31 is electrically grounded through a high pass filter (HPF) 3a, and a high frequency power source 31a of a frequency, e.g., 2 MHz, is connected through a matching device 31b to the lower electrode 31.

Further, a focus ring 39 is arranged at the outer peripheral edge of the lower electrode 31 so as to surround the electrostatic chuck 34 so that plasma is focused by the focus ring 39 onto the wafer W on the mount stage 3 when generating the plasma.

The upper electrode 4 is formed into a hollow shape and has a lower surface formed therein plural holes 41, which are arranged to distribute uniformly for example, for dispersive supply of a processing gas into the processing vessel 21, whereby the upper electrode 4 constitutes a gas shower head. Further, a gas introduction pipe 42 is disposed at the central portion of the upper face of the upper electrode 4 to penetrate the central portion of the upper face of the processing vessel 21 via an insulative member 27. Then, the gas introduction pipe 42 is divided at the upstream side thereof into five branch pipes 42A to 42E, which are connected through valves 43A to 43E and flow control sections 44A to 44E to the gas supply sources 45A to 45E. The valves 43A to 43E, and the flow control sections 44A to 44E constitute a gas supply system 46.

The upper electrode 4 is electrically grounded through a low pass filter (LPF) 47, and a high frequency power source 4a, as plasma generation means, of a frequency, e.g., 60 MHz, higher than the high frequency of the high frequency power source 31a is connected to the upper electrode 4 through a matching box 4b.

The high frequency wave supplied from the high frequency power source 4a connected to the upper electrode 4 is used for converting the processing gas into plasma, and high frequency waves supplied from the high frequency power source 31a connected to the lower electrode 31 is used for applying a bias power to the wafer W to draw ions in the plasma onto the surface of the wafer W.

As shown in FIG. 3, the heating apparatus 54 has a processing vessel 91 and a mount stage 92 in which a heater 98 is buried as heating means. The heater 98 can elevate the temperature of the wafer W to a decomposition temperature of ammonium silicofluoride, for example, at a temperature of 100° C. or higher. A suction port 96 is formed in the lower face of the processing vessel 91, and the atmosphere in the processing vessel 91 is exhausted by a vacuum pump 97 constituting exhaust means connected to the upstream thereof.

Further, a not shown detoxifying device is connected to the vacuum pump 97 such that the exhaust gas from the vacuum pump 97 flows into the detoxifying device so that gases such as a gas of ammonium silicofluoride 81 decomposed by the heating process of the wafer W (described later) is detoxified. A gas supply port 95 is formed in the upper face of the processing vessel 91 at a position opposed to the wafer W, so that a nitrogen gas or the like can be supplied from the gas source 94 into the processing vessel 91. An opening 93a for loading and unloading of the wafer W is formed in a lateral side of the processing vessel 91. The opening 93a is opened or closed by the gate valve 93b.

As shown in FIG. 1, the substrate processing system 11 is provided with a controller 2A comprising, for example, a computer, and the controller 2A has a data processing section comprising a program, a memory, and a CPU. The program is incorporated with commands for sending control signals from the controller 2A to each of the component parts of the substrate processing system 11 for conducting processing and transportation of wafers W by proceeding each of the steps to be described later. Further, the memory has a region in which values of process parameters such as a process pressure, a process temperature, a process time, gas flow rates, or an electric power are written. Upon executing each of the commands of the program by the CPU, the processing parameters are read out and control signals in accordance with the parameter values are sent to each of the component parts of the substrate processing system 11. The program (also including programs regarding the inputting operation and display of processing parameters) is stored in a memory 2B such as a computer storage medium, for example, a flexible disk, a compact disk or MO (magnetooptic disc) and installed to the controller 2A.

Then, an example of a substrate processing method according to the present invention employing the substrate processing system 11 will be described. At first, a carrier which is a transport container for a wafer W is loaded through a gate door GT from the atmospheric side into any one of the carrier chambers 12a to 12c, and thereafter a wafer W is carried into the first transfer chamber 13 by the first transfer means 17. Then, the wafer W is transferred by the first transfer means 17 into the alignment chamber 19 where the orientation of the wafer W is adjusted, and then the wafer W is transferred into the load lock chamber 14 (or 15). After lowering the pressure of the atmosphere in the load lock chamber 14, the wafer W is transferred by the second transfer means 18 from the load lock chamber 14 through the second transfer chamber 16 and the gate valve 26 into the plasma processing apparatus 51.

After horizontally placing the wafer W on the mount stage 3 in the processing vessel 21, the second transfer means 18 is withdrawn from the processing vessel 21 and the gate value 26 is closed. Successively, a back side gas is supplied from the gas flow channel 38 to control the temperature of the wafer W to a predetermined temperature. Then, the following steps are conducted.

The structure of the surface region of the wafer W is shown in FIG. 4(a). The wafer W has a structure of films in a first embodiment of the present invention. FIG. 4(a) shows an example of an intermediate stage of the steps of forming an (n+1)th interconnection layer on a dielectric film as the nth (n: integer of 1 or greater) layer comprising an SiO2 film 71 and an organic film 72 and a copper interconnection 73 formed in the dielectric film. In the drawing, the copper interconnection in the nth layer for connection with the (n−1) th layer is not illustrated. Deposited on the copper interconnection 73 are an SiC film 74 as an etch stop film, an SiOCH film 75 as an interlayer dielectric film, an organic film 76, and an SiO2 film 77 as a hard mask in that order from below. A recess 79 is formed in the SiOCH film 75 and the organic film 76 for aperturing a via hole. The recess 79 is formed by a conventional method, for example, a dry etching method using a photoresist mask, and the description therefore is omitted.

(Step 1: Etching Step for SiC Film 74)

The interior of the processing vessel 21 is exhausted through the exhaust pipe 24 by the exhaust device 23 to maintain the interior of the processing vessel 21 at a predetermined degree of vacuum, and then CF4 gas is supplied, for example, as a processing gas from the gas supply system 46. Successively, a high frequency wave of a frequency of 60 MHz is supplied to the upper electrode 4 to convert the processing gas into plasma, and a high frequency wave of a frequency of 2 MHz is supplied as a bias high frequency wave to the lower electrode 31.

The plasma contain active species of compounds of carbon and fluorine and when the SiC film 74 is exposed to the atmosphere of such active species, compounds reacted with atoms in the film are formed, whereby the SiC film 74 is etched as shown in FIG. 4(b). In this case, a silicon-containing CF series polymer (deposit) 80 as the reaction product of the SiC film 74 and the processing gas is deposited by the etching of the SiC film 74. The CF series polymer is deposited also on the inner wall of the processing vessel 21 by the etching.

Although the CF4 gas is used in this example, another CF series gas comprising carbon and fluorine, for example, C2F6 gas may be used. The etch stop film is not limited to the SiC film 74, and may be a film containing silicon and carbon such as an SICN film. The CF4 gas may be mixed with an inert gas such as nitrogen gas.

(Step 2: Ammonia Treatment Step)

After completion of the etching of the SiC film 74, power supply from the high frequency power sources 4a and 31a are interrupted to stop generating plasma in the processing vessel 21. Thereafter, supply of the gas from the gas supply system 46 is stopped. Then, the interior of the processing vessel 21 is exhausted by the exhaust device 23 to remove the remaining gas and maintain the interior of the processing vessel 21 at a predetermined degree of vacuum.

Then, a gas containing nitrogen and hydrogen, for example, ammonia gas is supplied from the gas supply system 46. After the interior of the processing vessel 21 is maintained at a predetermined pressure, a high frequency wave of a frequency of 60 MHz is supplied to the upper electrode 4 to convert the process gas into plasma, and a high frequency wave of a frequency of 2 MHz is supplied, as a high frequency wave for biasing, to the lower electrode 31.

By the plasma, as shown in FIG. 4(c), the polymer 80 deposited on the surface of the copper interconnection 73 is removed, and the organic film 76 is also etched utilizing the SiO2 film 77 as a mask to form a groove (trench) for burying a copper interconnection. In this process step, ammonia is used instead of, for example, oxygen gas in order to suppress oxidation of the copper interconnection 73 exposed to the surface of the wafer W due to the removal of the polymer 80, and to conduct reduction of an oxide film formed slightly on the surface of the copper interconnection 73.

With the foregoing treatment, a white powdery product is deposited on the surface of the wafer W. The product is a compound containing silicon, fluorine, nitrogen, and hydrogen which is, for example, ammonium silicofluoride 81 represented by the chemical formula: (NH4)2SiF6.

It is estimated that the ammonium silicofluoride 81 is formed as described below. That is, the CF series polymer is deposited on the inner wall of the processing vessel 21 by etching the SiC film 74 as has been described above; then, when the ammonia gas is converted into plasma, the CF series polymer is dissociated by the plasma to form fluorine. Then, fluorine is deposited on the surface of the wafer W and reacts with silicon in the film on the surface of the wafer W and the ammonia gas to form the ammonium silicofluoride 81 over the whole surface of the wafer W.

In this case, the ammonium silicofluoride 81 is not limited to a compound whose stoichiometrical ratio of its constituent elements is expressed by the foregoing chemical formula, and may be a compound having characteristics (toxicity, hygroscopicity and heat decomposition temperature) similar to those described above. Further, although ammonia gas is used as the gas containing nitrogen and hydrogen in this example, a hydrazine series gas may also be used for instance.

Then, the wafer W after completion of the ammonia treatment is taken out by the second transfer means 18 into the second transfer chamber 16 and then transported into the heating apparatus 54.

(Step 3: Heating Step)

Then, the water W is placed on the mount stage 92 in the heating apparatus 54, and the interior of the processing vessel 91 is maintained at a predetermined degree of vacuumby the vacuum pump 97 while supplying nitrogen gas from the gas source 94 to the processing vessel 91. Then, the wafer W is heated up to a temperature, for example, of 150° C. by the heater 98 buried in the mount stage 92 and then kept for 150 sec. By the heat treatment, the foregoing ammonium silicofluoride 81 deposited on the surface of the wafer W is decomposed as shown in FIG. 4(d) to form, for example, hydrogen fluoride (HF) or silicon fluoride (SiF4). The product is sucked together with the nitrogen gas through the vacuum pump 97 to the not shown detoxifying device and is detoxified therein. Although the heat treatment temperature was set as described above in this example, there is no particular restriction as long as it is not lower than the temperature for decomposing the ammonium silicofluoride 81 and is not higher than the allowable temperature limit of the interlayer dielectric film (SiOCH film 75 in this example) (about 400° C.). The time of keeping the wafer may be any time which allows the ammonium silicofluoride 81 to be decomposed sufficiently.

Thereafter, the wafer W is carried out of the substrate processing system 11 along the route opposite to the route for carrying-in of the wafer.

According to the foregoing embodiment, since a plasma process using ammonia gas is conducted for removing the CF series polymer 80 as the residue of the etching process and the organic film 76 succeeding to the plasma process using the CF4 gas as an etching process for the SiC film 74, a toxic ammonium silicofluoride 81 is formed on the surface of the wafer W. However, since the wafer W is unloaded into the surrounding atmosphere (clean room atmosphere) outside the substrate processing system 11 after removing the ammonium silicofluoride by the heat treatment in the substrate processing system 11, there is no possibility that the interior of the clean room is contaminated with the ammonium silicofluoride 81 and there is no possibility that ammonium silicofluoride 81 adhered directly to an operator and, accordingly, adverse affect on human bodies of the toxic product formed during the semiconductor manufacturing process can be prevented reliably.

Further, since oxidation of the copper interconnection 73, etc. by hygroscopicity can be suppressed and absorption of water having a high dielectric constant into the wafer W can be prevented by removing the ammonium silicofluoride 81 of high hygroscopicity, increase of the dielectric constant can be suppressed.

Since the heat treatment is conducted, instead of water cleaning or the like, as a method of removing the ammonium silicofluoride 81, the ammonium silicofluoride 81 can be removed by a simple and convenient method and, since water used for cleaning does not intrude into the SiOCH film 75 which is a porous body, increase of the dielectric constant can be suppressed and it is not necessary to conduct a step of removing water intruding into the wafer W.

Although the plasma process and the heat treatment are conducted in separate processing vessels 21, 91 in this embodiment, a heater may be disposed to a mount stage 3 of the plasma processing apparatus 51 to conduct both of the treatments in one identical processing vessel 21, so that the processing vessel 21 serves also as the processing vessel 91 for conducting the heat treatment. Further, the heating means for heating the water W is not limited to the heater 98, and may be, for example, an infrared lamp.

Further, the heating apparatus 54 may be incorporated into the load lock chambers 14 and 15. In this case, a heater may be provided in a not shown mount stage in the load lock chamber 14, 15, or an infrared lamp may be provided at the ceiling of the load lock chamber 14, 15; and a structure capable of supplying a purge gas may also be provided.

In the step of removing the polymer 80 as the residue of etching of the SIC film 74, although the step of forming a portion of the recess 79 as the groove of the trench by etching the organic film 76 is conducted simultaneously, the process step may be without the step of removing the organic film 76. Further, the plasma process using the fluorine-containing gas is not limited to the etching of the SiC film 74, and another plasma process may also be adopted and, succeeding to the plasma processing, etching of the organic film 76 containing silicon which is a plasma process using ammonia gas may also be conducted. The former example will be described with reference to FIG. 5 and FIG. 6.

In a second embodiment of the present invention, as shown in FIG. 5, deposited on a copper interconnection 110 are an SiC film 103 as an etch stop film, an SiOCH film 104 as an interlayer dielectric film, an SiO2 film 105 as a hard mask, and an organic film 107 as a sacrificial film in that order from below. A groove 106a as a trench is formed in the SiOCH film 104, SiO2 film 105 and the organic film 107; and the groove 106a is engraved to a depth corresponding to about one-half of the thickness of the SiOCH film 104. Further, a hole 106b is formed in the SiOCH film 104 so as to pass from the groove 106a to the SiC film 103, and an organic film 107aof a material identical to that of the foregoing organic film 107 is buried in the hole 106b.

In this case, the wafer W is placed on a mount stage 3 in the processing vessel 21, the same plasma process as described above is conducted by using O2 gas or ammonia gas as a processing gas to etch the organic film 107 on the surface of the wafer W and the organic film 107ain the hole 106b. Successively, as shown in FIG. 6(b), the SiC film 103 is etched by a plasma process using CF4 gas and, further, as shown in FIG. 6(c), the polymer 80 as the residue of etching of the SiC film 103 deposited on the surface of the copper interconnection 110 is removed by a plasma process using an ammonia gas in the same manner. Also in this case, the ammonium silicofluoride 81 is formed on the surface of the water W as described previously.

Then, the wafer W is entered into the heating apparatus 54 and the same heat treatment is conducted.

Also in this embodiment, the same effects as those in the embodiment described above can be obtained.

Further, another example of the substrate processing system applicable to the substrate processing method of the present invention will be described briefly with reference to FIG. 7.

A substrate processing system 121 shown in FIG. 7 has carrier chambers 122a to 122c, a transfer chamber 123, load lock chambers 124 and 125 and plasma processing apparatuses 51 and 52. Further, an alignment chamber 129 is disposed on a lateral side of the transfer chamber 123.

The transfer chamber 123 is provided therein with first transfer means 127. The first transfer means 127 is a transport arm for transferring a wafer W between the carrier chambers 122a to 122c and the load lock chambers 124 and 125 and between the transfer chamber 123 and the alignment chamber 129, and the first transfer means is movable in the right-to-left direction in the drawing. Further, as shown in FIG. 8, the load lock chamber 124 and 125 are provided therein with second transfer means 128a and 128b respectively. Those second transfer means 128a and 128b are arms for transferring the wafers W between the first transfer means 127 and the plasma processing apparatuses 51 and 52. Further, an infrared lamp 135 as the heating means is provided above the load lock chambers 124, 125, so that infrared rays are irradiated through a transparent window 136 made of glass or the like to the wafer W in the load lock chamber 124, 125 and the wafer W can be heated at a temperature not lower than the decomposition temperature of the ammonium silicofluoride 81, for example, 100° C. or higher.

The load lock chambers 124 and 125 are connected to vacuum pumps 131a and 131b as the exhaust means through exhaust pipes 130a and 130b, respectively, such that the interior of the load lock chambers 124 and 125 can be evacuated. A not shown detoxifying device is connected to the upstream of the vacuum pumps 131a and 131b, so that gases generated by decomposition of the ammonium silicofluoride 81 in the load lock chambers 124 and 125 can be detoxified. Further, the load lock chambers 124 and 125 are connected through the gas supply pipes 132a and 132b to a gas source 134 capable of supplying, for example, nitrogen gas, so that the gas can be supplied to the load lock chambers 124 and 125, respectively. Further, the load lock chambers 124 and 125 have not shown leak valves, so that the surrounding atmosphere and the vacuum atmosphere can be switched by means of the leak valves and the foregoing vacuum pumps 131a and 131b. The load lock chambers 124 and 125 are connected, for example, to cooling means including a cooling gas source and a gas supply channel (they are not shown herein), so that the wafer W after subjected to the heat treatment can be cooled. FIG. 8 illustrates the load lock chamber 124.

In the substrate processing system 121, a wafer W is transported from the carrier chamber 122a (122b or, 122c) to the transfer chamber 123, the alignment chamber 129, the transfer chamber 123, the load lock chamber 124 (or 125), and the plasma processing apparatus 51 (or 52) in that order, and the wafer W is subjected to the previously-described plasma process, and then transferred by the second transfer means 128a (or 128b) into the load lock chamber 124 (or 125). Then, the wafer W is heated, for example, at 100° C. or higher by the infrared lamp 135 to decompose the ammonium silicofluoride 81 on the surface of the wafer W. Then, atmospheric air flows from the not shown leak valve into the load lock chamber 124 (or 125), and the wafer W is carried out of the substrate processing system 121 along the route opposite to that when carrying the wafer into the load lock chamber 124 (or 125).

EXAMPLE

Then, an experiment conducted in connection with the substrate processing method of the present invention will be described. In the experiment, as shown in FIG. 9, the below-described processes using the substrate processing system 11 were applied to respective wafers W, each of which is prepared by depositing an SiC film 83 of a film thickness of 100 nm on a bare silicon wafer for the experiment. Then, the surfaces of the wafers W were sputtered by gold ions and the deposited ingredients of the compound were analyzed by using ToF-SIMS (Time of Flight-Secondary Ion Mass Spectroscopy).

When conducting processes to the wafer W, the following conditions were used.

(Etching of SiC film 83) Processing pressure: 6 Pa (45 mTorr) Processing gas: CF4 = 100 sccm Processing time: 15 sec

The etching was conducted without forming a resist film or the like to the surface of the SiC film 83.

(Ammonia treatment) Processing pressure: 40 Pa (300 mTorr) Processing gas: ammonia = 700 sccm Processing time: 40 sec (Heat treatment) Heat temperature: 150° C. Vacuum degree: 1.3 Pa (100 mTorr) Retention time: 150 sec

Example 1

The etching process for the SiC film 83, the ammonia treatment and the heat treatment described above were applied to a wafer W in accordance with the steps described above.

Example 2

The same processes as those in Example 1 were applied to a wafer W. In this case, however, for confirming the extent of effects of moisture content in atmospheric air, etching and the ammonia treatment for the SiC film 83 were conducted in the plasma processing apparatus 51, then the wafer W was returned once to the carrier chamber 12a of the surrounding atmosphere, and the wafer W was transported into the heating apparatus 54 and was subjected to the heat treatment.

Comparative Example 1

The etching process for the SiC film 83 and the ammonia treatment described above were applied to a wafer W in that order.

Reference Example

The etching process for the SiC film 83 described above was applied to a wafer W.

[Result of Experiment]

FIG. 10 shows the result of the experiment.

In each of the examples described above, formation of various compounds (reference numbers 1 to 14 in the graph) considered to be attributable to the decomposition of the ammonium silicofluoride 81 was confirmed. Although the presence of the compounds were confirmed also in Examples 1 and 2, since the amount of formation of them was at a level substantially identical with the result of the reference example, it is considered that such products in Examples 1 and 2 are attributable to impurities contained in the wafer W or reaction products generated due to reaction with elements in the circumstance.

On the other hand, in the comparative example, since the amount of the compounds due to the decomposition of the ammonium silicofluoride 81 described above was about ten times as much as that in Examples 1, 2, it is considered that the ammonium silicofluoride 81 was apparently deposited on the wafer W before analysis.

Since the amount of products was substantially identical between Example 1 and Example 2, it was found that the wafer W may be exposed to the surrounding atmosphere after the ammonia treatment and before the heat treatment.

BRIEF DESCRIPTION OF THE DRAWINGS

[FIG. 1]

FIG. 1 is a plan view showing an example of a substrate processing system according to the present invention.

[FIG. 2]

FIG. 2 is a vertical cross sectional view showing an example of a plasma processing system used for the plasma process of the present invention.

[FIG. 3]

FIG. 3 is a vertical cross sectional view showing an example of a heating apparatus used in the heat treatment of the invention.

[FIG. 4]

FIG. 4 shows cross sectional views illustrating an example of a substrate processing method in a first embodiment of the present invention.

[FIG. 5]

FIG. 5 is a cross sectional view of a substrate illustrating an example of the substrate processing method in a second embodiment of the present invention.

[FIG. 6]

FIG. 6 shows cross sectional views of a substrate illustrating the above example of the substrate processing method.

[FIG. 7]

FIG. 7 is a plan view showing an example of a substrate processing system according to the present invention.

[FIG. 8]

FIG. 8 is a vertical cross sectional view showing the above example of the substrate processing system.

[FIG. 9]

FIG. 9 is a cross sectional view of a substrate used in the examples of the present invention.

[FIG. 10]

FIG. 10 is a characteristic graph showing the result of the examples of the invention.

DESCRIPTION FOR REFERENCES

  • 11 Substrate processing system
  • 13 First transfer chamber
  • 14 Load lock chamber
  • 16 Second transfer chamber
  • 17 First transfer means
  • 18 Second transfer means
  • 21 Processing vessel
  • 51 Plasma processing apparatus
  • 54 Heating apparatus
  • 73 Copper interconnection
  • 74 SiC film
  • 76 Organic film
  • 80 Deposit
  • 81 Ammonium silicofluoride

Claims

1. A substrate processing method including:

a step of conducting a step (a) of applying a plasma process using a processing gas containing fluorine to a silicon-containing film on a substrate, and a step (b) of applying a plasma process using a processing gas containing nitrogen and hydrogen to the substrate in one identical processing vessel, thereby forming an ammonium silicofluoride; and
a step of subsequently heating the substrate at a temperature not less than a decomposition temperature of the ammonium silicofluoride in a processing vessel before placing the substrate in a clean room atmosphere.

2. The substrate processing method according to claim 1, wherein the step (b) is conducted after the step (a).

3. The substrate processing method according to claim 1 or 2, wherein the step (a) is a process for removing an etch stop film containing silicon and carbon formed on the surface of a metal interconnection formed on the substrate.

4. The substrate processing method according to claim 2, wherein the step (b) is a process for removing an organic dielectric film containing carbon formed on a layer above the metal interconnection and/or a process for removing an organic film formed as a by-product on the metal interconnection by the step (a).

5. The substrate processing method according to any one of claims 1 to 4, wherein the step of heating the substrate is conducted in a processing vessel different from the processing vessel in which the step (a) and the step (b) are conducted.

6. The substrate processing method according to any one of claims 1 to 5, wherein the processing gas containing fluorine is a gas containing fluorine and carbon.

7. The substrate processing method according to any one of claims 1 to 6, wherein the processing gas containing nitrogen and hydrogen is ammonia gas.

8. A substrate processing system including:

a plasma processing apparatus for conducting a step (a) of applying a plasma process using a processing gas containing fluorine to a silicon-containing film on a substrate, and a step (b) of applying a plasma process using a processing gas containing nitrogen and hydrogen to the substrate, and
a heating apparatus that heats the substrate in a processing vessel at a temperature not less than a decomposition temperature of ammonium silicofluoride in the processing vessel for decomposing the ammonium silicofluoride formed on the substrate by the plasma processes in the plasma processing apparatus.

9. The substrate processing system according to claim 8, wherein the plasma processing apparatus is configured so as to conduct the step (b) succeeding to the step (a).

10. The substrate processing system according to claim 8 or 9, wherein the processing vessel of the plasma processing apparatus and the processing vessel of the heating apparatus are different from each other, and the processing vessels are air tightly connected to a transfer chamber having a vacuum atmosphere and provided with substrate transfer means.

11. The substrate processing system according to claim 8 or 9, wherein the gas containing fluorine is a gas containing fluorine and carbon.

12. The substrate processing system according to claim 8 or 9, wherein the processing gas containing nitrogen and hydrogen is ammonia gas.

13. A storage medium storing a computer program to be used for a substrate processing system for processing a substrate in a processing vessel, and to be run on a computer, wherein

the computer program is incorporated with steps for practicing the substrate processing method according to any one of claims 1 to 7.
Patent History
Publication number: 20080045030
Type: Application
Filed: Aug 14, 2007
Publication Date: Feb 21, 2008
Inventor: Shigeru Tahara (Narasaki-Shi)
Application Number: 11/889,582
Classifications
Current U.S. Class: 438/715.000; 156/345.370; To Change Their Surface-physical Characteristics Or Shape, E.g., Etching, Polishing, Cutting (epo) (257/E21.483)
International Classification: H01L 21/461 (20060101); H01L 21/306 (20060101);