Integrated Circuit Implementing Scalable Meta-Data Objects

A method is disclosed for defining an integrated circuit. The method includes generating a digital data file that includes both electrical connection information and physical topology information for a number of circuit components. The method also includes operating a computer to execute a layout generation program. The layout generation program reads the electrical connection and physical topology information for each of the number of circuit components from the digital data file and automatically creates one or more layout structures necessary to form each of the number of circuit components in a semiconductor device fabrication process, such that the one or more layout structures comply with the physical topology information read from the digital data file. The computer is also operated to store the one or more layout structures necessary to form each of the number of circuit components in a digital format on a computer readable medium.

Skip to: Description  ·  Claims  · Patent History  ·  Patent History
Description
CLAIM OF PRIORITY

This application is a continuation application under 35 U.S.C. 120 of prior U.S. application Ser. No. 14/481,845, filed Sep. 9, 2014, issued as U.S. Pat. No. 9,589,091, on Mar. 7, 2017, which is a continuation application under 35 U.S.C. 120 of prior U.S. application Ser. No. 13/312,673, filed Dec. 6, 2011, issued as U.S. Pat. No. 8,839,175, on Sep. 16, 2014, which:

    • a) claims priority under 35 U.S.C. 119(e) to U.S. Provisional Patent Application No. 61/420,313, filed Dec. 6, 2010, and
    • b) is a continuation-in-part application under 35 U.S.C. 120 of prior U.S. application Ser. No. 13/047,474, filed Mar. 14, 2011, issued as U.S. Pat. No. 8,756,551, on Jun. 17, 2014, which is a continuation application under 35 U.S.C. 120 of prior U.S. application Ser. No. 12/013,356, filed Jan. 11, 2008, issued as U.S. Pat. No. 7,908,578, on Mar. 15, 2011, which claims priority under 35 U.S.C. 119(e) to both U.S. Provisional Patent Application No. 60/972,394, filed Sep. 14, 2007, and U.S. Provisional Patent Application No. 60/963,364, filed Aug. 2, 2007, and
    • c) is a continuation-in-part application under 35 U.S.C. 120 of prior U.S. application Ser. No. 12/572,225, filed Oct. 1, 2009, issued as U.S. Pat. No. 8,436,400, on May 7, 2013, which is a continuation application under 35 U.S.C. 120 of prior U.S. application Ser. No. 12/212,562, filed Sep. 17, 2008, issued as U.S. Pat. No. 7,842,975, on Nov. 30, 2010, which is a continuation application under 35 U.S.C. 120 of prior U.S. application Ser. No. 11/683,402, filed Mar. 7, 2007, issued as U.S. Pat. No. 7,446,352, on Nov. 4, 2008, which claims priority under 35 U.S.C. 119(e) to both U.S. Provisional Patent Application No. 60/781,288, filed Mar. 9, 2006. The disclosure of each above-identified patent application and patent is incorporated herein by reference in its entirety for all purposes.

BACKGROUND

Currently, integrated circuit (IC) layout is represented by polygons in standard formats like GDS-II (Graphic Data System) and OASIS (Open Artwork System Interchange Standard). Re-use of IC layout has been desired for many years. Because of technology scaling, re-use of IC layout has been limited or not possible. Therefore, the IC layout polygons need to be redrawn each time a technology changes, for example moving to smaller dimensions.

The Mead and Conway “lambda” rules were an early attempt at scaling, but were not useful outside an academic environment. None of these layout representations comprehend different interconnect structures as circuits scale. Two significant problems with scaling include:

    • 1. Lithographic resolution is scaling at a different rate from overlay scaling, and
    • 2. Device and interconnect structures change because of material properties and/or electric field requirements.

It is within this context that the present invention arises.

SUMMARY

In one embodiment, a method is disclosed for defining an integrated circuit. The method includes generating a digital data file that includes both electrical connection information for a number of circuit components and physical topology information for the number of circuit components. The method also includes operating a computer to execute a layout generation program. The layout generation program reads the electrical connection information and physical topology information for each of the number of circuit components from the digital data file and automatically creates one or more layout structures necessary to form each of the number of circuit components in a semiconductor device fabrication process, such that the one or more layout structures comply with the physical topology information read from the digital data file. The method further includes operating the computer to store the one or more layout structures necessary to form each of the number of circuit components in a digital format on a computer readable medium.

In one embodiment, a system for defining an integrated circuit is disclosed. The system includes a computer system including a processor and a memory. The system also includes a digital data file stored in the memory. The digital data file includes both electrical connection information for a number of circuit components and physical topology information for the number of circuit components. The system also includes a layout generation program stored as a set of computer executable instructions in the memory. The layout generation program is defined to read the electrical connection information and physical topology information for each of the number of circuit components from the digital data file and automatically create a digital representation of one or more layout structures necessary to form each of the number of circuit components in a semiconductor device fabrication process, such that the one or more layout structures comply with the physical topology information read from the digital data file. The layout generation program is further defined to store the digital representation of the one or more automatically created layout structures in a digital format on a computer readable medium.

Other aspects and advantages of the invention will become more apparent from the following detailed description, taken in conjunction with the accompanying drawings, illustrating by way of example the present invention.

BRIEF DESCRIPTION OF THE DRAWINGS

FIG. 1 shows a topological schematic view of the two input NAND gate of Table 2, in accordance with one embodiment of the present invention.

FIG. 2 shows a flow chart of a method for generating a physical layout from meta-data input, in accordance with one embodiment of the present invention.

FIG. 3 shows a plan view of the two input NAND gate of Table 2 and FIG. 1 with the placements of the diffusion (345, 347, 341, 343) and linear conductive structures (351, 353) that form gate electrodes of the four transistors m1, m2, m3, m4, in accordance with one embodiment of the present invention.

FIG. 4 shows a plan view of the two input NAND gate with the addition of a VSS rail 465, and a gate connection formed by a gate contact 463 and a metal-1 structure 461, in accordance with one embodiment of the present invention.

FIG. 5 shows a portion of the plan view of the two input NAND gate with a VSS connection to the transistor m1 done with a metal-1 vertical structure 523 and a diffusion contact 525, in accordance with one embodiment of the present invention.

FIG. 6 shows a portion of the plan view of the two input NAND gate with a VSS connection to the transistor m1 done with a diffusion structure 623 and a diffusion contact 625, in accordance with one embodiment of the present invention.

FIG. 7 shows a portion of the plan view of the two input NAND gate with a VSS connection to the transistor m1 done with a local-interconnect structure 723 and a contact structure 725, in accordance with one embodiment of the present invention.

FIG. 8 shows a plan view of the two input NAND gate with all the connections made, in accordance with one embodiment of the present invention.

FIG. 9 shows a plan view of the two input NAND gate with all the connections made, in accordance with another embodiment of the present invention.

FIG. 10 shows a plan view of an inverter with all connections made, in accordance with one embodiment of the present invention.

FIG. 11 shows a variation of the plan view of the inverter of FIG. 10, in accordance with one embodiment of the present invention.

FIG. 12 shows a flowchart of a method for defining an integrated circuit, in accordance with one embodiment of the present invention.

FIG. 13 shows a system for defining an integrated circuit, in accordance with one embodiment of the present invention.

DETAILED DESCRIPTION

In the following description, numerous specific details are set forth in order to provide a thorough understanding of the present invention. It will be apparent, however, to one skilled in the art that the present invention may be practiced without some or all of these specific details. In other instances, well known process operations have not been described in detail in order not to unnecessarily obscure the present invention.

By way of example, the invention described herein can use the Tela Innovations, Inc., Dynamic Array Architecture (i.e., gridded design style), as described in U.S. Pat. Nos. 7,446,352 and 7,917,879, each of which is incorporated herein by reference in its entirety. However, it should be understood that the invention is not limited to the Dynamic Array Architecture design style. Also, CMOS transistors are used in the examples described herein, but it should be understood that other components can be handled in an analogous fashion. It should also be noted that the Dynamic Array Architecture can be implemented with a coarse grid in the “x” and “y” directions to facilitate identification of the locations of objects like transistors, contacts, and gaps in lines, i.e., linear conductive structures. The linear conductive structures can be positioned on and/or according to the grids.

Embodiments of the present invention can provide the following:

    • a. A data format which is similar to a SPICE netlist but which includes information on where devices are and how they are connected.
    • b. A tool, e.g., computer program, which can implement a different device or interconnect technology driven by a technology file input. The tool can be defined as computer executable code stored on a computer readable medium.
    • c. An output of the tool which includes design intent information to allow further modification to the layout after cells are placed into a hierarchy such as a block of logic cells.
    • d. A tool which can take the design intent information and revise final layout to improve manufacturability. The tool can be defined as computer executable code stored on a computer readable medium.

Table 1 shows a portion of a SPICE netlist, listing the lines needed for a two input NAND gate identified as a sub-circuit. On the first line, a name is specified for the sub-circuit, followed by identification of input, output, and power supply pins. The four transistors used are identified with one in each line. The SPICE convention for a MOS transistor is “name Drain Gate Source Bulk Model-name Width Length.” Other parameters can also be included. The 4 nodes of the transistor are in the order DGSB.

TABLE 1 .subckt t10_nd2d1 a b y vdd vss m1 n1 a vss vss nmos w=160n 1=20n m2 y a vdd vdd pmos w=192n 1=20n m3 y b n1 vss nmos w=160n 1=20n m4 y b vdd vdd pmos w=192n 1=20n .ends

The netlist of Table 1 is an example, using MOS transistors. Other components like resistors, diodes, bipolar transistors, and MOS transistors with other model names (e.g., to represent transistors with different threshold voltages) can be expected and handled in the same fashion.

It should be noted that each of the input, output, and power supply pins are connected to nodes of transistors as needed to perform the circuit function. In the example of Table 1, there is one internal node, n1, which has no connection outside the sub-circuit.

The netlist describes a circuit topology that is quite universal. However, having fixed values for the length and width parameters within the netlist circuit topology limits the scalability of the NAND sub-circuit. The length and width parameters can be replaced by variable values or expressions which are controlled by global parameters within the complete SPICE deck. Also, a similar Verilog-AMS netlist could be used, since it would contain a representation of the components and the topological connections of the nodes.

In one embodiment of the present invention the SPICE netlist is extended to include additional information for generating a physical topology from the circuit topology. Table 2 shows an example netlist for the two input NAND gate including possible extensions for generating the physical topology, in accordance with one embodiment of the present invention. The initial comments in the netlist explain the new syntax.

TABLE 2 * Transistor width in units of metal-1 pitch / 4 * Transistor length in units of the minimum * X values in units of poly tracks (not counting edge dummy poly) * Y values for transistor center in units of metal-1 pitch / 4 * Y values for drain, gate, source connections in units of metal pitch * Transistor type: 0 - (00) no extension past the centerline in X * Transistor type: 1 - (01) extension on the right * Transistor type: 2 - (10) extension on the left * Transistor type: 3 - (11) extension on both sides .subckt t10_nd2d1_s a b y vdd vss m1 n1 a vss vss nmos $w=8 $1=1 $x=1 $y=10 $yg=5# $ys=1 $type=2 m2 y a vdd vdd pmos $w=10 $1=1 $x=1 $y=33 $ys=11 $type=2 m3 y b n1 vss nmos $w=8 $1=1 $x=2 $y=10 $yd=3 $yg=7# $type=1 m4 y b vdd vdd pmos $w=10 $1=1 $x=2 $y=33 $yd=9# $ys=11 $type=1 .ends

It should be noted that width could be in some other simple unit of metal-1 pitch, for example ⅛ or ⅕ of the metal-1 pitch. Also, the length could be specified as coded values. For example, a netlist could specify $1=A for several transistors, and $1=B for other transistors, and $1=C for yet other transistors. The layout generator would use the technology file to build the layout using the appropriate sizes. For example, in one example embodiment, at a 45 nm (nanometer) CMOS technology node, A=40 nm, B=42 nm, C=44 nm. These specific values would exist in the technology file, so the meta-data netlist is still technology independent. In one example embodiment, the A, B, C values of length could be used in variant logic cells needed for power optimization.

FIG. 1 shows a topological schematic view of the two input NAND gate of Table 2, in accordance with one embodiment of the present invention. Input pin A is 111. Input pin B is 113. Output pin Y is 115 and is connected to internal node n2, which is 121. VDD is 101. VSS is 103. Internal node n1 is 123. Transistor m1 is 135, and is connected to VSS by connection 109, and is further connected to internal node n1 123. Transistor m2 is 131, and is connected to VDD by connection 107, and is further connected to both internal node n2 121 and output pin Y 115. Transistor m3 is 137, and is connected to internal node n1 123, and is further connected to both output pin Y 115 and internal node n2 121. Transistor m4 is 133, and is connected to VDD by connection 105, and is further connected to both internal node n2 121 and output pin Y 115.

FIG. 2 shows a flow chart of a method for generating a physical layout from meta-data input, in accordance with one embodiment of the present invention. The method of FIG. 2 can be implemented by a tool (e.g., computer program) which reads meta-data object input, and combines the meta-data object input with information from a technology file to create a physical layout. Because essentially any circuit is formed by components attached to nodes which are interconnected, the method of FIG. 2 is not limited to CMOS devices. In general, the method of FIG. 2 can be applied to any mapping of a topology of elements, nodes, and interconnect into another representation (e.g., into a physical representation) of the same electrical topology.

The method includes an operation 201 for reading the meta-data object. The method proceeds with an operation 203 for reading the technology description, such as that provided by information within a technology file. The method then proceeds with an initialization operation 205 to set a counter variable “J” equal to one. In an operation 207, the transistor corresponding to the current counter value, i.e., the J-th transistor, is placed in the layout. Then, a decision operation 209 is performed to determine whether or not all transistors have been placed in the layout. If more transistors need to be placed in the layout, the method proceeds from the decision operation 209 to an operation 211, in which the counter variable “J” is incremented by one. Then, the method proceeds back to operation 207 for placing the current (J-th) transistor. If decision operation 209 determines that all transistors have been placed in the layout, the method proceeds from decision operation 209 to an operation 213, in which the variable counter is reset to one.

Operation 213 is the beginning of the interconnection of nodes. From the operation 213, the method proceeds with an operation 215 for connecting DGSB (Drain, Gate, Source, Bulk) of the current transistor as identified by the counter variable J (i.e., the J-th transistor). Then, a decision operation 217 is performed to determine whether or not DGSB has been connected for all transistors. If more transistors need to be DGSB connected in the layout, the method proceeds from the decision operation 217 to an operation 219, in which the counter variable “J” is incremented by one. Then, the method proceeds back to operation 215 for connecting DGSB of the current (J-th) transistor. If decision operation 217 determines that all transistors have been DGSB connected in the layout, the method proceeds from decision operation 217 to an operation 221, in which fill and/or dummy shapes are added to the layout, if necessary.

FIG. 3 shows a plan view of the two input NAND gate of Table 2 and FIG. 1 with the placements of the diffusion (345, 347, 341, 343) and linear conductive structures (351, 353) that form gate electrodes of the four transistors m1, m2, m3, m4, in accordance with one embodiment of the present invention. Also, FIG. 3 shows the output of the layout generator of the method of FIG. 2, as applied to the two input NAND gate, just prior to operation 213. In this example, the layout generator has found four transistors (m1, m2, m3, m4) and placed their centers at the coordinates specified by the meta-data. Note that the diffusions (345, 347, 341, 343) have been extended past the gate-space centerlines depending on the “type” of the transistor diffusion or “active” region. Also note that the linear conductive structures (351, 353) have been extended vertically across the cell since the gate electrodes of transistors m1 and m2 share linear conductive structure 351, and the gate electrodes of transistors m3 and m4 share linear conductive structure 353. Also, an x-y grid defined by x-direction lines 301-321 and y-direction lines 331-337 is shown in FIG. 3 to aid visualizing where the physical elements will be placed.

During the first pass through operation 215 of the method of FIG. 2, the layout generator begins making the connections for transistor m1. FIG. 4 shows a plan view of the two input NAND gate with the addition of a VSS rail 465, and a gate connection formed by a gate contact 463 and a metal-1 structure 461, in accordance with one embodiment of the present invention. The drain 341b of transistor m1 is connected by diffusion to the source 343a of transistor m3, so nothing needs to be added for connection of the drain 341 of transistor m1. The gate of transistor m1 is contacted by metal-1 line 5 (Met1-5), so the contact 463 and metal-1 structure 461 are placed into the layout as shown in FIG. 4. The horizontal dimension of the metal-1 structure 461 is determined by both the technology file physical rules as well as the technology file guidelines, such as “metal-1 line used for a cell pin must cross at least 2 metal-2 tracks.”

The source 341a of transistor m1 is connected to VSS, which is defined in the technology file as a metal-1 structure in the bottom track (Met1-1). This is illustrated as VSS rail 465 in FIG. 4. To allow scalability, the power supply connection is technology dependent.

FIG. 5 shows a portion of the plan view of the two input NAND gate with a VSS connection to the transistor m1 done with a metal-1 vertical structure 523 and a diffusion contact 525, in accordance with one embodiment of the present invention. The metal-1 structure 523 is a vertical stub from metal-1 structure 465. The diffusion contact 525 connects the metal-1 structure 523 to the diffusion region 341a. This metal-1 construction may be allowed in technologies of 32 nm and larger, but may not be allowed for smaller technology nodes because of the difficulty in making the bend in the metal-1 pattern.

FIG. 6 shows a portion of the plan view of the two input NAND gate with a VSS connection to the transistor m1 done with a diffusion structure 623 and a diffusion contact 625, in accordance with one embodiment of the present invention. The diffusion structure 623 is contiguous with the diffusion region 341a. The diffusion contact 625 extends vertically to connect with both the diffusion structure 623 and the metal-1 structure 465. This metal-1 construction may be allowed in technologies of 40 nm and larger, but may not be allowed for smaller technology nodes because of the difficulty in making the bend in the diffusion pattern.

FIG. 7 shows a portion of the plan view of the two input NAND gate with a VSS connection to the transistor m1 done with a local-interconnect structure 723 and a contact structure 725, in accordance with one embodiment of the present invention. The local-interconnect structure 723 is electrically connected to the diffusion region 341a. The contact structure 725 extends vertically to connect with both the local-interconnect structure 723 and the metal-1 structure 465. This construction is highly scalable since it involves a simple rectangular pattern for the local-interconnect structure 723. Additionally, in one embodiment, the local-interconnect structure 723 can be self-aligned to the gate electrodes or photo-aligned to create the pattern shown in FIG. 7.

It should be understood that a similar set of technology dependent power supply connections can be created in the same fashion as exemplified in FIGS. 5-7 for other nodes identified in the meta-data. For instance, FIG. 8 shows a plan view of the two input NAND gate with all the connections made, in accordance with one embodiment of the present invention. In the example of FIG. 8, local interconnect is used to connect the power rails to the transistors m1, m2, and m4. FIG. 7 shows the local-interconnect connection between VSS rail 465 and transistor m1. Diffusion region 345a of transistor m2 is connected by local-interconnect structure 863 and contact structure 864 to VDD structure 856. Similarly, diffusion region 347b of transistor m4 is connected by local-interconnect structure 865 and contact structure 866 to VDD structure 856.

Also, the gates of transistors m3 and m4 are connected to metal-1 structure 853 by gate contact 854. The metal-1 structure 853 is connected to input pin B 113. Similarly, the gates of transistors m1 and m2 are connected to metal-1 structure 461 by gate contact 463. The metal-1 structure 461 is connected to input pin A 111.

Drain nodes of transistors m3, m2, and m4 are tied to metal-1 lines 3 and 9, i.e., Met1-3 and Met1-9, and are listed in the meta-data. The diffusion region 343b of transistor m3 is connected to the shared diffusion node of transistors m2 and m4 (formed by diffusion regions 345b and 347a), by connections through both metal-1 and metal-2 structures. Specifically, the diffusion region 343b is connected to the metal-1 structure 871 by diffusion contact 872. The metal-1 structure 871 is in turn connected to the metal-2 structure 875 by via 877. The diffusion contact 872 and via 877 is a stacked contact/via structure. The metal-2 structure 875 is connected to the metal-1 structure 873 by via 876. The metal-1 structure 873 is connected to the shared diffusion node (formed by diffusion regions 345b and 347a) by diffusion contact 874. The connection between the diffusion region 343b of transistor m3 and the shared diffusion node of transistors m2 and m4 (formed by diffusion regions 345b and 347a) is connected to the output pin Y 115.

It should be appreciated that the layout generator for the technology file in the example of FIG. 8 used a metal-2 jumper and chose the available track 336 to form the above-described output connection. In another embodiment, the layout generator could have used track 334 for the metal-2 jumper in the output connection. In yet another embodiment, the layout generator could have chosen to not put a hard metal-2 structure in the layout for the output connection, but could have put a “must connect” instruction in the .LEF file to complete the connection with a metal-2 track available to the router.

FIG. 9 shows a plan view of the two input NAND gate with all the connections made, in accordance with another embodiment of the present invention. In FIG. 9, the local interconnect structure 975 connects the diffusion region 343b of transistor m3 to the metal-1 structure 971, by way of contact structure 972. Similarly, the local-interconnect structure 977 connects the shared diffusion node (formed by diffusion regions 345b and 347a) to the metal-1 structure 971, by way of contact structure 976. In this example, the metal-1 structure 971 is chosen to go in an unused metal-1 track 6 (Met1-6). Also, the metal-1 structure 973 and diffusion contact 974 are used to create the output connection to output pin Y 115, as instructed by the “#” symbol appended to track assignments in the meta-data file of Table 2. The input and output pin nodes, as formed by metal-1 structures 461, 853, and 973, are made as wide as possible to give more connection points for the cell.

In one embodiment of the present invention the modified SPICE netlist of Table 2 is further extended to include the following information:

    • Additional meta-data, including:
      • i. Cell height in Metal-1 tracks added,
      • ii. Input and output pins combined into “signal” pins, and
      • iii. Node name added to each interconnect segment.
    • Addition of abstract interconnect constructs, including:
      • i. CMOS Connector (CMC),
      • ii. Hole-to-Metal-1 (H2M1), and
      • iii. Local Vertical Connector (LVC).
    • Addition of components, including:
      • i. Well taps,
      • ii. Diodes, and
      • iii. MOSFETs with different VT or gate oxide thickness.

Table 3 shows an example of a meta-file portion for a buffer circuit that included information for cell height in Metal-1 tracks, input and output pins combined into “signal” pins, node name added to each interconnect segment, and abstract interconnect constructs (CMC, H2M1, LVC).

The abstract interconnect constructs are used to construct interconnect in different ways depending on the technology. For example, a CMC could be implemented with a single local interconnect (LI) line, or it could be implemented without LI using two contacts, two Metal-1 lines, two via-1's, and one Metal-2 line. In one embodiment, the choice is made at “run time” based on switches or parameters in the technology file.

An H2M1 is used when a vertical line is connected to a horizontal Metal-1 line. If the vertical line is LI, then an H2M1 is implemented with a contact. If the vertical line is Metal-2, then the H2M1 is implemented with a Via-1.

An LVC is used for a vertical line which does not connect NMOS and PMOS transistors or a pin “port.” In the meta-file example of Table 3, if the output “z” CMC is implemented in LI, then the port text is implemented in the LI text layer. If the “z” CMC is implemented in Metal-2, then the port text is implemented in the Metal-2 text layer.

TABLE 3 .subckt buf_1x1 a0 z vdd vss * HEIGHT: M1, 10 * signal pins 2 a0, M1, 5, 2 z, LVC, 7, 5 * power supply pins 2 vss, 0 vdd, 10 * metal interconnect nodes 4 a0, 2, M1,5,0,4, CT,5,2 z, 1, CMC,2,2,7 n1, 3, M1,4,0,6, H2M1,4,1, CT,4,4 n1, 1, CMC,0,2,7 * name left gate right bulk model scaledW scaledL Xloc Yloc Type Ydrain Ygate Ysource 4 mn1, n1, a0, vss, vss, nch, 10, A, 1, 10, 2, 2, 5, 0 mn2, vss, n1, z, vss, nch, 20, A, 2, 5, 1, 0, 4, 2 mp1, n1, a0, vdd, vdd, pch, 18, A, 1, 46, 2, 7, 5, 0 mp2, vdd, n1, z, vdd, pch, 35, A, 2, 38, 1, 0, 4, 7 .ends

FIG. 10 shows a plan view of an inverter with all connections made, in accordance with one embodiment of the present invention. The inverter includes diffusion regions 1041 and 1043, and a linear conductive structure 1045 that forms gate electrodes of the two transistors m1 and m2. An x-y grid defined by x-direction lines 1001-1021 and y-direction lines 1031-1035 is shown in FIG. 10 to aid with visualizing where the physical elements are placed. The inverter includes a power rail formed by a Metal-1 structure 1051 positioned along the Met1-1 line. The power rail structure 1051 is electrically connected to the diffusion region 1041a of transistor m1 by a contact 1061 and a local interconnect structure 1071. The inverter includes a power rail formed by a Metal-1 structure 1056 positioned along the Met1-11 line. The power rail structure 1056 is electrically connected to the diffusion region 1043a of transistor m2 by a contact 1065 and a local interconnect structure 1073. If the diffusion region 1041 is of n-type and the diffusion region 1043 is of p-type, then the power rail 1051 is connected to a reference ground and the power rail 1056 is connected to a power supply. If the diffusion region 1041 is of p-type and the diffusion region 1043 is of n-type, then the power rail 1051 is connected to a power supply and the power rail 1056 is connected to a reference ground.

The inverter includes an input formed by a Metal-1 structure 1053 positioned along the Met1-5 line. The Metal-1 structure 1053 is electrically connected to the linear conductive structure 1045 by a contact 1063. The linear conductive structure 1045 forms the gates of transistors m1 and m2 where it crosses diffusion regions 1041 and 1043, respectively. The inverter also includes an output node formed by a local interconnect structure 1082. An electrical connection to the output node is provided by a Metal-1 structure 1054 through contact 1081. The CMOS connector (CMC) in the inverter of FIG. 10 is formed by the local interconnect structure 1082. Also, the hole-to-Metal-1 (H2M1) in the inverter of FIG. 10 is formed by the contact 1081.

FIG. 11 shows a variation of the plan view of the inverter of FIG. 10, in accordance with one embodiment of the present invention. In FIG. 11, the electrical connection to the output node is provided by a Metal-1 structure 1154. The Metal-1 structure 1154 is electrically connected to diffusion region 1041b through a via 1181, connected to a Metal-2 structure 1182, connected to a via 1162, connected to a Metal-1 structure 1152, connected to a contact vertically stacked beneath the via 1162, with the contact connected to the diffusion region 1041b. The Metal-1 structure 1154 is also electrically connected to diffusion region 1043b through the via 1181, connected to the Metal-2 structure 1182, connected to a via 1164, connected to a Metal-1 structure 1155, connected to a contact vertically stacked beneath the via 1164, with the contact connected to the diffusion region 1043b. The CMOS connector (CMC) in the inverter of FIG. 11 is formed by the contacts beneath the vias 1162 and 1164, and by the Metal-1 structures 1152 and 1155, and by the vias 1162 and 1164, and by the Metal-2 structure 1182. Also, the hole-to-Metal-1 (H2M1) in the inverter of FIG. 11 is formed by the via 1181.

It should be noted that the layout generator can connect nodes as required by the netlist, but the choice of interconnect approach and location of the interconnects is technology dependent. The figures discussed herein illustrate the layout solution for a one-dimensional gridded design style, but bent or two-dimensional shapes are also supported as illustrated in the power supply connection options of FIGS. 5 and 6.

Logic cells with functionality less complex and more complex than a two input NAND gate or inverter can be described in a similar fashion by the meta-data to instruct the layout generator on how to place and interconnect devices. Also, meta-data can be extended to describe other components which can be included in a SPICE netlist. Since different technology file sections may be selected for different portions of a circuit, for example analog or input-output circuits, these circuit regions can be identified in the meta-data file to allow the generator to produce corresponding layout regions with different design rules.

It should be appreciated that the layout generator and corresponding methods of the present invention can be extended to systems which include components at a higher level of complexity, along with interconnect that are technology dependent. Also, the layout generator method of the present invention can be further extended to any system which can be described by a list of components and the nets which interconnect them, with an implementation defined by a technology file.

The layout generator and associated methods of the present invention provide:

    • 1. A meta-data object describing a circuit or other structure involving components and connections between components, including functional intent.
    • 2. A computer executable tool using the meta-data object to create a structure with dimensions provided by a technology file.
    • 3. A layout convention which conveys design intent.
    • 4. A computer executable tool which can use design intent information to modify patterns to enhance manufacturability.
    • 5. A final layout/structure derived from the meta-data object.
    • 6. A computer executable tool for creating scaled and dimensioned (with actual dimensions) representations of the circuit defined by the meta-data object, such as a scaled and dimensioned SPICE model, a library exchange format (.LEF) file, and/or a design exchange format (.DEF) file, among others, which may be used by a place-and-route tool to fabricate the circuit.

FIG. 12 shows a flowchart of a method for defining an integrated circuit, in accordance with one embodiment of the present invention. The method includes an operation 1201 for generating a digital data file that includes both electrical connection information for a number of circuit components and physical topology information for the number of circuit components. The method also includes an operation 1203 for operating a computer to execute a layout generation program, whereby the layout generation program reads the electrical connection information and physical topology information for each of the number of circuit components from the digital data file and automatically creates one or more layout structures necessary to form each of the number of circuit components in a semiconductor device fabrication process, such that the one or more layout structures comply with the physical topology information read from the digital data file. The method further includes an operation 1205 for operating the computer to store the one or more layout structures necessary to form each of the number of circuit components in a digital format on a computer readable medium.

In one embodiment, the number of circuit components include a number of transistors. And, the physical topology information for one or more of the number of transistors includes a transistor width, a transistor channel length, a transistor center location in a first direction, and a transistor center location in a second direction perpendicular to the first direction, wherein the first direction extends perpendicular to the transistor width. In one embodiment, the transistor width is specified as a fractional multiple of a metal-1 structure pitch. In one embodiment, the transistor channel length is specified as a fractional multiple of a minimum channel length allowed by design rules of the semiconductor device fabrication process. In one embodiment, the transistor center location in the first direction is specified as a particular transistor gate electrode track number. In one embodiment, the transistor center location in the second direction is specified as a fractional multiple of a metal-1 structure pitch.

Also, in one embodiment, the physical topology information for one or more of the number of transistors further includes a drain connection center location in the second direction, a gate connection center location in the second direction, and a source connection center location in the second direction. In one embodiment, each of the drain, gate, and source center locations in the second direction is specified as a fractional multiple of a higher-level metal structure pitch. Additionally, in one embodiment, the physical topology information for one or more of the number of transistors further includes a transistor diffusion region extension specification in the first direction. It should be understood, however, that in other embodiments of the method, the digital data file can include essentially any additional physical topology information not explicitly identified herein.

In one embodiment, the method of FIG. 12 also includes an operation for generating a digital technology file that includes physical dimensions corresponding to a number of variables used for physical topology information in the digital data file. In this embodiment, the layout generation program reads the physical dimensions from the digital technology file and substitutes the physical dimensions for the corresponding variables in the physical topology information in the digital data file. Also, in this embodiment, the method can further includes an operation for adjusting the physical dimensions within the digital technology file without adjusting the corresponding variables in the physical topology information in the digital data file.

FIG. 13 shows a system 1300 for defining an integrated circuit, in accordance with one embodiment of the present invention. The system 1300 includes a computer system 1301 including a processor 1303 and a memory 1305. The system 1300 also includes a digital data file 1307 stored in the memory 1305. The digital data file 1307 includes both electrical connection information for a number of circuit components and physical topology information for the number of circuit components. The system 1300 further includes a layout generation program 1309 stored as a set of computer executable instructions in the memory 1305. The layout generation program 1309 is defined to read the electrical connection information and physical topology information for each of the number of circuit components from the digital data file 1307 and automatically create a digital representation of one or more layout structures 1311 necessary to form each of the number of circuit components in a semiconductor device fabrication process, such that the one or more layout structures comply with the physical topology information read from the digital data file 1307. The layout generation program 1309 is further defined to store the digital representation of the one or more automatically created layout structures 1311 in a digital format on a computer readable medium 1313.

In one embodiment, the system 1300 also includes a digital technology file 1315 stored in the memory 1305. The digital technology file 1315 includes physical dimensions corresponding to a number of variables used for physical topology information in the digital data file 1307. The layout generation program 1309 is defined to read the physical dimensions from the digital technology file 1315 and substitute the physical dimensions for the corresponding variables in the physical topology information in the digital data file 1307.

In one embodiment of the system 1300, the number of circuit components include a number of transistors. And, in one embodiment, the physical topology information for one or more of the number of transistors includes a transistor width, a transistor channel length, a transistor center location in a first direction, and a transistor center location in a second direction perpendicular to the first direction, wherein the first direction extends perpendicular to the transistor width. In one embodiment, the transistor width is specified as a fractional multiple of a metal-1 structure pitch. In one embodiment, the transistor channel length is specified as a fractional multiple of a minimum channel length allowed by design rules of the semiconductor device fabrication process. In one embodiment, the transistor center location in the first direction is specified as a particular transistor gate electrode track number. In one embodiment, the transistor center location in the second direction is specified as a fractional multiple of a metal-1 structure pitch.

Also, in one embodiment of the system 1300, the physical topology information for one or more of the number of transistors further includes a drain connection center location in the second direction, a gate connection center location in the second direction, and a source connection center location in the second direction. In one embodiment, each of the drain, gate, and source center locations in the second direction is specified as a fractional multiple of a higher-level metal structure pitch. Additionally, in one embodiment of the system 1300, the physical topology information for one or more of the number of transistors further includes a transistor diffusion region extension specification in the first direction. It should be understood, however, that in other embodiments of the system 1300, the digital data file can include essentially any additional physical topology information not explicitly identified herein.

It should be understood that in one embodiment the invention described herein can be embodied as computer readable code on a computer readable medium. For example, the computer readable code can include computer executable program instructions for operating the layout generator. The computer readable code can also include program instructions for generating layout libraries and/or cells can also be stored in a digital format on a computer readable medium.

The computer readable medium mentioned herein is any data storage device that can store data which can thereafter be read by a computer system. Examples of the computer readable medium include hard drives, network attached storage (NAS), read-only memory, random-access memory, CD-ROMs, CD-Rs, CD-RWs, magnetic tapes, and other optical and non-optical data storage devices. The computer readable medium can also be distributed over a network of coupled computer systems so that the computer readable code is stored and executed in a distributed fashion.

Any of the operations described herein that form part of the invention are useful machine operations. The invention also relates to a device or an apparatus for performing these operations. The apparatus may be specially constructed for the required purpose, such as a special purpose computer. When defined as a special purpose computer, the computer can also perform other processing, program execution or routines that are not part of the special purpose, while still being capable of operating for the special purpose. Alternatively, the operations may be processed by a general purpose computer selectively activated or configured by one or more computer programs stored in the computer memory, cache, or obtained over a network. When data is obtained over a network the data may be processed by other computers on the network, e.g., a cloud of computing resources.

The embodiments of the present invention can also be defined as a machine that transforms data from one state to another state. The data may represent an article, that can be represented as an electronic signal and electronically manipulate data. The transformed data can, in some cases, be visually depicted on a display, representing the physical object that results from the transformation of data. The transformed data can be saved to storage generally, or in particular formats that enable the construction or depiction of a physical and tangible object. In some embodiments, the manipulation can be performed by a processor. In such an example, the processor thus transforms the data from one thing to another. Still further, the methods can be processed by one or more machines or processors that can be connected over a network. Each machine can transform data from one state or thing to another, and can also process data, save data to storage, transmit data over a network, display the result, or communicate the result to another machine.

It should be further understood that the layouts generated by the layout generator and associated methods disclosed herein can be manufactured as part of a semiconductor device or chip. In the fabrication of semiconductor devices such as integrated circuits, memory cells, and the like, a series of manufacturing operations are performed to define features on a semiconductor wafer. The wafer includes integrated circuit devices in the form of multi-level structures defined on a silicon substrate. At a substrate level, transistor devices with diffusion regions are formed. In subsequent levels, interconnect metallization lines are patterned and electrically connected to the transistor devices to define a desired integrated circuit device. Also, patterned conductive layers are insulated from other conductive layers by dielectric materials.

While this invention has been described in terms of several embodiments, it will be appreciated that those skilled in the art upon reading the preceding specifications and studying the drawings will realize various alterations, additions, permutations and equivalents thereof. Therefore, it is intended that the present invention includes all such alterations, additions, permutations, and equivalents as fall within the true spirit and scope of the invention.

Claims

1. An integrated circuit, comprising:

a first diffusion region having a size measured in a first direction in units of a first interconnect level pitch, the first diffusion region having a size measured in a second direction in units of one-quarter of a gate electrode pitch;
a second diffusion region having a size measured in the first direction in units of the first interconnect level pitch, the second diffusion region having a size measured in the second direction in units of one-quarter of the gate electrode pitch;
a gate electrode structure having a linear shape and a lengthwise centerline oriented in the first direction and positioned in accordance with the gate electrode pitch, the gate electrode structure positioned between the first diffusion region and the second diffusion region, the gate electrode structure having a length measured in the first direction in units of one-half of the first interconnect level pitch, the gate electrode structure having a width measured in the second direction to extend from the first diffusion region to the second diffusion region;
a local interconnect structure formed to physically contact the first diffusion region, the local interconnect structure having a size measured in the first direction that is at least as large as the first interconnect level pitch, the local interconnect structure having a size measured in the second direction in units of one-quarter of the gate electrode pitch;
a first interconnect level structure having a linear shape and a lengthwise centerline oriented in the second direction and positioned in accordance with the first interconnect level pitch; and
a contact structure extending between the local interconnect structure and the first interconnect level structure, the contact structure physically contacting both the local interconnect structure and the first interconnect level structure, the contact structure positioned in accordance with one-half of the gate electrode pitch.
Patent History
Publication number: 20170177779
Type: Application
Filed: Mar 7, 2017
Publication Date: Jun 22, 2017
Inventors: Michael C. Smayling (Fremont, CA), Daryl Fox (Campbell, CA), Jonathan R. Quandt (San Jose, CA), Scott T. Becker (Scotts Valley, CA)
Application Number: 15/452,364
Classifications
International Classification: G06F 17/50 (20060101); H01L 27/02 (20060101); H01L 23/535 (20060101); H01L 29/423 (20060101); H01L 29/08 (20060101);