METHOD TO DEPOSIT CONFORMAL AND LOW WET ETCH RATE ENCAPSULATION LAYER USING PECVD

Methods of depositing conformal, dense silicon-containing films having low hydrogen content are provided herein. Methods involve pulsing a plasma while exposing a substrate to a silicon-containing precursor and reactant to facilitate a primarily radical-based pulsed plasma enhanced chemical vapor deposition process for depositing a conformal silicon-containing film. Methods also involve periodically performing a post-treatment operation whereby, for every about 20 Å to about 50 Å of film deposited using pulsed plasma PECVD, the deposited film is exposed to an inert plasma to densify and reduce hydrogen content in the deposited film.

Skip to: Description  ·  Claims  · Patent History  ·  Patent History
Description
CROSS-REFERENCE TO RELATED APPLICATION

This application claims benefit of U.S. Provisional Patent Application No. 62/333,054 filed May 6, 2016, and titled “METHODS OF ENCAPSULATION,” which is incorporated by reference herein in its entirety and for all purposes.

BACKGROUND

Many semiconductor device fabrication processes involves formation of silicon-containing films such as silicon nitride and silicon carbide. Advanced devices in logic and memory such as FinFETs, MRAM, and PCRAM may include silicon nitride and silicon carbide films that are deposited at low temperatures to avoid damage to other materials on a substrate being processed. Some deposition of silicon-containing films involves plasma enhanced chemical vapor deposition (PECVD) and/or atomic layer deposition (ALD).

SUMMARY

Provided herein are methods and apparatuses for processing semiconductor substrates. One aspect involves a method of processing a substrate housed in a process chamber, the method including: introducing a silicon-containing precursor and a reactant to the process chamber at a substrate temperature less than about 400° C.; igniting and pulsing a first plasma while introducing the silicon-containing precursor and the reactant to generate radical species for forming a silicon-containing film over the substrate; and after forming the silicon-containing film, performing a post-treatment operation, the post-treatment operation including: stopping flow of the silicon-containing precursor and the flow of the reactant; introducing a post-treatment gas into the process chamber; and igniting a second plasma to treat the silicon-containing film, whereby a duration of each pulse during the pulsing of the first plasma is between about 0.02 ms and about 5 ms. In some embodiments, the post-treatment gas is selected from the group consisting of nitrogen, ammonia, argon, helium, and combinations thereof.

The first plasma may be pulsed between 500 and 2000 times.

In various embodiments, the post-treatment operation is performed after the silicon-containing film is formed to a thickness between about 20 Å and about 50 Å.

In some embodiments, the second plasma is ignited for a duration between about 10 seconds and about 60 seconds.

In various embodiments, the silicon-containing film is silicon oxide and the post-treated silicon-containing film has a wet etch rate in a 100:1 hydrofluoric acid solution of about 50 Å/minute.

In various embodiments, the silicon-containing film is silicon nitride and the post-treated silicon-containing film has a wet etch rate in a 100:1 hydrofluoric acid solution of less than about 20 Å/minute.

The silicon-containing film may be any of silicon nitride, silicon carbide, and silicon oxide.

The silicon-containing film may be deposited at a temperature of between about 250° C. and about 350° C. The post-treatment operation may be performed at a temperature of between about 250° C. and about 350° C.

In various embodiments, the chamber has a chamber pressure between about 2 Torr and about 10 Torr.

In some embodiments, the silicon-containing film is deposited over a magnetic device.

The first and second plasma may be generated in situ.

In various embodiments, the first and second plasmas are ignited using a dual frequency plasma generator. In some embodiments, the deposited silicon-containing film is silicon nitride, and the hydrogen content of the post-treated silicon nitride film is less than about 15 a.u. In some embodiments, the silicon-containing film is silicon nitride, and the density of the silicon nitride is at least about 2.6 g/cm3.

In various embodiments, the first and second plasmas are ignited using a single frequency plasma generator. In some embodiments, the deposited silicon-containing film is silicon nitride, and the hydrogen content of the post-treated silicon nitride film is less than about 26 a.u. In some embodiments, the silicon-containing film is silicon nitride, and the density of the silicon nitride is at least about 2.1 g/cm3.

In various embodiments, the substrate is patterned with features having an aspect ratio between about 1:1 to about 60:1. In various embodiments, post-treatment operation is performed to remove hydrogen from the silicon-containing film. In various embodiments, the silicon-containing film is deposited as an encapsulation layer over a magnetic device.

Another aspect involves an apparatus for processing a semiconductor substrate including a semiconductor material, the apparatus including: one or more process chambers, whereby at least one process chamber includes a heated pedestal for heating the semiconductor substrate; a plasma generator; one or more gas inlets into the process chambers and associated flow-control hardware; and a controller having at least one processor and a memory, whereby the at least one processor and the memory are communicatively connected with one another, the at least one processor is at least operatively connected with the flow-control hardware, and the memory stores computer-executable instructions for controlling the at least one processor to at least control the flow-control hardware by: (i) setting the pedestal temperature to a temperature less than about 400° C.; (ii) introducing a silicon-containing deposition precursor and a reactant to the one or more process chambers; (iii) igniting a first plasma in pulses when the deposition precursor and reactant are introduced to the one or more process chambers to form a silicon-containing film; and (iv) periodically stopping flow of the silicon-containing deposition precursor and the reactant and introducing a post-treatment gas to the one or more process chambers and igniting a second plasma to treat the deposited silicon-containing film.

These and other aspects are described further below with reference to the drawings.

BRIEF DESCRIPTION OF THE DRAWINGS

FIG. 1 is a graph showing the relative amounts of species generated and remaining in a process chamber during and after a plasma pulse.

FIG. 2 is a process flow diagram depicting operations for a method in accordance with certain disclosed embodiments.

FIG. 3 is a timing sequence diagram showing an example of a method in accordance with certain disclosed embodiments.

FIG. 4 is a schematic diagram of an example process chamber for performing certain disclosed embodiments.

FIG. 5 is a schematic diagram of an example process tool for performing certain disclosed embodiments.

FIG. 6A is a graph of step coverage for silicon oxide deposited in substrates having features of various aspect ratios in an experiment.

FIG. 6B is an image of a substrate with silicon oxide deposited in accordance with certain disclosed embodiments in an experiment.

FIG. 7A is a graph of step coverage for silicon nitride deposited in substrates having features of various aspect ratios in an experiment.

FIG. 7B is an image of a substrate with silicon nitride deposited in accordance with certain disclosed embodiments in an experiment.

FIG. 8 is a graph of wet etch rates of silicon nitride deposited using continuous plasma and pulsed plasma.

FIG. 9A is a graph of experimental data showing hydrogen content of silicon nitride deposited using continuous plasma PECVD, pulsed plasma PECVD, and pulsed plasma PECVD with post-treatment.

FIG. 9B is a graph of experimental data showing wet etch rates of silicon nitride deposited using continuous plasma PECVD, pulsed plasma PECVD, and pulsed plasma PECVD with post-treatment.

FIG. 9C is a graph of experimental data showing densities of silicon nitride deposited using continuous plasma PECVD, pulsed plasma PECVD, and pulsed plasma PECVD with post-treatment.

FIGS. 10A-10C are FTIR spectra of silicon nitride films deposited in various experiments.

DETAILED DESCRIPTION

In the following description, numerous specific details are set forth to provide a thorough understanding of the presented embodiments. The disclosed embodiments may be practiced without some or all of these specific details. In other instances, well-known process operations have not been described in detail to not unnecessarily obscure the disclosed embodiments. While the disclosed embodiments will be described in conjunction with the specific embodiments, it will be understood that it is not intended to limit the disclosed embodiments.

In this application, the terms “semiconductor wafer,” “wafer,” “substrate,” “wafer substrate,” and “partially fabricated integrated circuit” are used interchangeably. One of ordinary skill in the art would understand that the term “partially fabricated integrated circuit” can refer to a silicon wafer during any of many stages of integrated circuit fabrication thereon. A wafer or substrate used in the semiconductor device industry typically has a diameter of 200 mm, or 300 mm, or 450 mm. Unless otherwise stated, the processing details recited herein (e.g., flow rates, power levels, etc.) are relevant for processing 300 mm diameter substrates, or for treating chambers that are configured to process 300 mm diameter substrates, and can be scaled as appropriate for substrates or chambers of other sizes.

Semiconductor manufacturing processes often involve fabrication of silicon-containing films, such as silicon oxide, silicon nitride, and silicon carbide. Such films are sometimes deposited onto patterned substrates to form conformal films for various applications, such as barrier layers for contacts. Silicon nitride and silicon carbide layers may be used as encapsulation films, spacers, and barrier films in advanced devices for logic and memory structures, such as FinFETs, MRAM, 3DXPointReRAM, and PCRAM. As devices shrink and technologies become more advanced, higher quality, dense, and more conformal films are desired. Conventional techniques for depositing conformal films involve atomic layer deposition (ALD). Various industrial ALD processes for depositing conformal films use halogenated precursors, particularly if deposition is performed at a temperature less than about 450° C. Alternatively, the cost of using non-halogenated precursors to deposit silicon nitride and silicon carbide films using ALD at temperatures less than 450° are expensive. Conventional techniques result in films that may not have the desired quality, such as having low hydrogen content. Hydrogen may be incorporated into deposited films when the silicon-containing precursor and/or reactants used for deposition include hydrogen atoms. For example, some silanes and also ammonia used in deposition may cause incorporation of hydrogen. However, it may be desired to form conformal silicon-containing films having low hydrogen content to form a better quality film for applications such as formation of encapsulation layers.

Provided herein are methods of depositing conformal silicon-containing films such as silicon oxide, silicon nitride, and silicon carbide on substrates. Silicon-containing films deposited using certain disclosed embodiments are high quality films exhibiting low hydrogen content and low etch rate in dilute hydrofluoric acid. Silicon-containing films are deposited on a substrate, which may be a silicon wafer, e.g., a 200-mm wafer, a 300-mm wafer, or a 450-mm wafer, including wafers having one or more layers of material, such as dielectric, conducting, or semi-conducting material deposited thereon. Non-limiting examples of layers that may be deposited on a substrate include dielectric layers and conducting layers, e.g., silicon oxides, silicon nitrides, silicon carbides, metal oxides, metal nitrides, metal carbides, and metal layers. The substrate may be patterned to form features having an aspect ratio between about 1:1 and about 60:1, or greater than about 1.5:1, or greater than about 4:1, or between about 1.5:1 and 60:1, or between about 1.5:1 and 40:1, or between about 1.5:1 and 20:1, such as about 5:1.

Films deposited in accordance with certain disclosed embodiments may be conformal. Conformality may be determined by the step coverage. “Step coverage” as used herein is calculated by dividing the average thickness of the deposited film on the sidewall by the average thickness of the deposited film at the top of the feature and multiplying it by 100 to obtain a percentage.

Methods described herein involve depositing silicon-containing films using pulsed plasma for plasma enhanced chemical vapor deposition (PECVD) and performing a post-treatment operation periodically. Pulsed plasma results in a primarily radical-based deposition process, instead of a primarily ion-based deposition process used in conventional PECVD involving continuous plasma. FIG. 1 shows a depiction of the relative amounts of plasma species generated in a single radio frequency (RF) plasma pulse. The solid line represents a single pulse of plasma for a given duration such that the plasma is turned on and turned off. Line 101 represents the relative amount of radicals, line 103 represents the relative amount of electrons, and line 105 represents the amount of ions generated. As shown, when the plasma is turned on, radicals, electrons, and ions are all generated. When the plasma is turned off, the amount of electrons as shown in line 103 drops dramatically to almost 0 in a short amount of time as the electrons recombine. The amount of ions remaining after the pulse as shown in line 105, also drops to almost 0 after a short amount of time, but a longer time than that of the electrons. The amount of radicals left after the plasma is turned off, as shown in line 101, is much larger than the amount of ions and electrons and the recombination of radicals over time is at a slower rate than that of ions and electrons. In contrast to a single pulse of plasma, as used in pulsed plasma PECVD, continuous plasma PECVD, radicals, electrons, and ions are continuously generated and deposition is primarily ion-based, with some diffusion of radicals. Diffusion into features of the substrate is also facilitated by a combination of ions and radicals. However, in pulsed plasma PECVD, after each pulse, radicals are the primary plasma species remaining in a process chamber used to deposit the film. Thus, deposition is primarily radical-based, and mostly, radicals are diffused into features of the substrate to form a film. The film that is formed using radicals has a different quality than film deposited using primarily ion species. For example, radical-based deposition results in films that may have a lower hydrogen content for silicon nitride, silicon oxide, and silicon carbide films. Other qualities that may be different for a film deposited using primarily radicals include: hydrogen content, wet etch rate, density, and hermeticity (which may be determined by evaluating the wet etch rate).

Pulsed plasma PECVD is also performed with post-treatment operations in various embodiments. Post-treatment operations described herein may be referred to as an in situ plasma densification process. In some embodiments, the in situ plasma densification process may be performed in a chamber separate from that of pulsed plasma PECVD. Densification may occur by removing hydrogen from the deposited film and increasing the density of the film, resulting in a lower wet etch rate. Post-treatment may be performed by periodically exposing the substrate to an inert gas and igniting a plasma during the exposure to inert gas. For example, in some embodiments, after at least some silicon-containing film is deposited, the silicon-containing film may be exposed to an argon plasma for a duration between about 10 seconds and about 50 seconds. A post-treatment operation may be performed after about 20 Å to about 50 Å of film is deposited using pulsed plasma PECVD. In various embodiments, silicon-containing films may be deposited by cycling between pulsed plasma PECVD and exposures to inert gas plasma. Using a combination of pulsed plasma for PECVD and post-treatment, deposited films may achieve high step coverage, high quality, lower hydrogen content, and lower wet etch rate.

Methods described herein are performed at temperatures less than about 400° C., such as between about 250° C. and about 350° C., such as about 275° C. It will be understood that temperatures as described herein may refer to the temperature at which a pedestal holding the substrate may be set at. The terms “substrate temperature,” “pedestal temperature,” and “temperature” may all refer to temperatures at which a pedestal is set at. Methods may also be performed in a process chamber having a chamber pressure less than about 10 Torr, such as between about 2 Torr and about 10 Torr.

FIG. 2 provides a process flow diagram depicting operations that may be performed in accordance with certain disclosed embodiments. In operation 201, a patterned substrate may be provided in a process chamber. Example process chambers are further described below with respect to FIGS. 4 and 5. As described above, the substrate may be a silicon wafer having one or more dielectric, conducting, or semiconducting material deposited thereon. The substrate may be patterned with features having an aspect ratio between about 1:1 and about 60:1, or greater than about 1.5:1, or greater than about 4:1, or between about 1.5:1 and 60:1, or between about 1.5:1 and 40:1, or between about 1.5:1 and 20:1, such as about 5:1. When a patterned substrate is provided to a process chamber, the substrate may be subject to a “temperature soak” whereby the substrate is heated to the process temperature upon which the substrate will be subject to operations described herein. For example, in some embodiments, the substrate may be heated to a temperature less than about 400° C., such as between about 250° C. and about 350° C., or about 275° C.

In operation 203, the substrate is exposed to a silicon-containing deposition precursor and reactant continuously. That is, both a deposition precursor and reactant may be flowed continuously to the process chamber housing the substrate. The deposition precursor may be any Group IV-containing precursor, such as a silicon-containing precursor. In some embodiments, the deposition precursor may be a germanium-containing precursor.

The deposition precursor and reactant selected for operation 203 depends on the type of film being deposited using disclosed embodiments. For example, deposition of a silicon nitride film may be performed by exposing the substrate to a silicon-containing precursor and a nitrogen-containing reactant. Example silicon-containing precursors include silicon-containing precursors having the structure:

where R1, R2, and R3 may be the same or different substituents, and may include silanes, amines, halides, hydrogen, or organic groups, such as alkylamines, alkoxy, alkyl, alkenyl, alkynyl, and aromatic groups.

Example silicon-containing precursors include polysilanes (H3Si—(SiH2)n—SiH3), where n>1, such as silane, disilane, trisilane, tetrasilane; and trisilylamine:

In some embodiments, the silicon-containing precursor is an alkoxysilane. Alkoxysilanes that may be used include, but are not limited to, the following:

    • Hx—Si—(OR)y where x=1-3, x+y=4 and R is a substituted or unsubstituted alkyl group; and
    • Hx(RO)y—Si—Si—(OR)yHx where x=1-2, x+y=3 and R is a substituted or unsubstituted alkyl group.

Examples of silicon-containing precursors include: methylsilane; trimethylsilane (3MS); ethylsilane; butasilanes; pentasilanes; octasilanes; heptasilane; hexasilane; cyclobutasilane; cycloheptasilane; cyclohexasilane; cyclooctasilane; cyclopentasilane; 1,4-dioxa-2,3,5,6-tetrasilacyclohexane; diethoxymethylsilane (DEMS); diethoxysilane (DES); dimethoxymethylsilane; dimethoxysilane (DMOS); methyl-diethoxysilane (MDES); methyl-dimethoxysilane (MDMS); octamethoxydodecasiloxane (OMODDS); tert-butoxydisilane; tetramethylcyclotetrasiloxane (TMCTS); tetraoxymethylcyclotetrasiloxane (TOMCTS); triethoxysilane (TES); triethoxysiloxane (TRIES); and trimethoxysilane (TMS or TriMOS).

In some embodiments, the silicon-containing precursor may be an aminosilane, with hydrogen atoms, such as bisdiethylaminosilane, diisopropylaminosilane, tert-butylamino silane (BTBAS), or tris(dimethylamino)silane. Aminosilane precursors include, but are not limited to, the following: Hx—Si—(NR)y where x=1-3, x+y=4 and R is an organic or hydride group.

In some embodiments, a halogen-containing silane may be used such that the silane includes at least one hydrogen atom. Such a silane may have a chemical formula of SiXaHy where y≧1. For example, dichlorosilane (H2SiCl2) may be used in some embodiments.

For depositing a silicon nitride film, a nitrogen-containing reactant may be used in operation 203. Example nitrogen-containing reactants include nitrogen gas and ammonia gas.

In another example, deposition of a silicon carbide precursor may be performed by exposing the substrate to a silicon-containing precursor and a carbon-containing reactant. In another example, deposition of an oxygen-doped silicon carbide precursor may be performed by exposing the substrate to a silicon-containing precursor and an oxygen-and-carbon-containing reactant. Example oxygen-and-carbon-containing reactants include carbon monoxide, carbon dioxide, and oxygen-containing hydrocarbons (e.g., CxHyOz). In another example, deposition of an oxygen-doped silicon carbide precursor may be performed by exposing the substrate to a silicon-and-carbon-containing precursor and hydrogen. In some embodiments, silicon carbide or germanium carbide layers may be deposited by reacting a silicon-and-carbon-containing precursor or germanium-and-carbon-containing precursor (respectively) with hydrogen.

In various embodiments, to deposit an oxygen-doped silicon carbide layer, or an oxygen-doped germanium carbide layer, hydrogen gas may be used as a reactant.

In another example, deposition of a germanium nitride layer may be performed by exposing the substrate to a germanium-containing precursor and a nitrogen-containing reactant. Example germanium-containing reactants include any germanium-containing compound that can react to form a germanium nitride, germanium carbide, or oxygen-doped germanium carbide layer may be used. Examples include germanes, such as GenHn+4, GenHn+6, GenHn+8, and GenHm, where n is an integer from 1 to 10, and n is a different integer than m. Other germanium-containing compounds may also be used, e.g., alkyl germanes, alkyl germanium, aminogermanes, carbogermanes, and halogermanes.

In another example, deposition of a germanium carbide may be performed by exposing the substrate to a germanium-containing precursor and a carbon-containing reactant, such as tetramethylsilane, trimethylsilane, and bis-tributylaminosilane. In another example, deposition of an oxygen-doped germanium carbide precursor may be performed by exposing the substrate to a germanium-containing precursor and an oxygen-and-carbon-containing reactant. In another example, deposition of an oxygen-doped germanium carbide precursor may be performed by exposing the substrate to a germanium-and-carbon-containing precursor and hydrogen.

Returning to FIG. 2, in operation 205, while the precursor and reactant are flowing, a plasma is ignited and pulsed by turning the plasma on and off. The plasma may be ignited at a plasma frequency of 13.56 MHz. In some embodiments, the plasma is generated using a dual frequency radio frequency generator. In some embodiments, the plasma is generated using a single frequency radio frequency generator. In various embodiments, the plasma power for a high frequency plasma is between about 400 W (0.1 W/cm2) and about 5000 W (1.5 W/cm2). In various embodiments, the plasma power for a low-frequency plasma is between about 400 W (0.1 W/cm2) and about 3000 W (1 W/cm2). The plasma may be pulsed at a pulse frequency between about 2 Hz and about 100 kHz with duty cycle ranging from about 1% to about 95%. The duty cycle is defined as the duration for which the plasma is on during a period having a duration T. The duration T includes the duration for pulse ON time (the duration for which the plasma is in an ON state) and the duration for plasma OFF time (the duration from which the plasma is in an OFF state) during a given period. The pulse frequency will be understood as 1/T. For example, for a plasma pulsing period T=100 μs, frequency is 1/T= 1/100 μs, or 10 kHz. The duty cycle or duty ratio is the fraction or percentage in a period T during which the plasma is in the ON state such that duty cycle or duty ratio is pulse ON time divided by T. For example, for a plasma pulsing period T=100 μs, if a pulse ON time is 70 μs (such that the duration for which the plasma is in an ON state in a period is 70 μs) and a pulse OFF time is 30 μs (such that the duration for which the plasma is in an OFF state in a period is 30 μs), the duty cycle is 70%. In some embodiments, the shortest RF on time during the pulse step can be as low as about 5 μsec. In some embodiments, the shortest RF off time can be about 5 μsec. Depending on the duty cycle and the frequency, various combinations of RF on/RF off pulses can be performed. For example, in some embodiments, this operation may be performed for a duration of about 0.01 ms to about 5 ms, or between about 0.02 ms and about 5 ms, or between about 0.05 ms and about 5 ms, or between about 0.05 ms and about 1.9 ms, between about 0.5 ms and about 1.9 ms. During operation 205, the plasma may be pulsed hundreds to thousands of time depending on total plasma duration time.

Pulsing plasma achieves conditions sufficient to form a conformal layer over a substrate with high step coverage. In continuous PECVD plasma deposition, when the plasma is on, ions, radicals, neutral species, and other reactive species are generated in the chamber. In pulsed plasma PECVD deposition as described herein, it is believed that when the plasma is turned off after each pulse, reactive species recombine in the following order: electrons disappear/recombine, ions recombine, and radicals recombine. Since the pulses are extremely short (e.g., plasma is turned on for a short duration, then turned off for a longer duration to allow deposition), when the plasma is turned off, the electrons and ions recombine, eliminating the directionality of the ions in depositing the material. Radicals take a longer time to recombine, so deposition is mainly driven by radicals, rather than ions. Radicals are then able to delve deep into high aspect ratio features (such as for applications greater than 4:1) and deposit a conformal, high step coverage film even at the bottom of the features.

Returning to FIG. 2, in operation 207, the substrate is exposed to a plasma without a silicon-containing or germanium-containing reactant for a post-treatment plasma operation. The plasma may be generated by igniting a post-treatment gas, which may be, in some embodiments, an inert gas. In some embodiments, pulsed plasma PECVD is performed in combination with operation 207 such that operation 207 is performed periodically. For example, in some embodiments, after operation 205, flows of the silicon-containing precursor and the reactant are stopped, a post-treatment gas is introduced, and a continuous plasma is ignited to treat the deposited film without a silicon-containing or germanium-containing reactant. Performing a combination of pulsed plasma PECVD and post-treatment plasma exposure to a post-treatment plasma achieves higher quality, higher step coverage, and lower hydrogen content silicon-containing films than performing pulsed plasma PECVD alone. Although conventionally deposited PECVD films deposited using continuous plasma may be used in combination with post-treatment, such films may not yield as high a quality of films with high step coverage as films deposited using a combination of pulsed plasma PECVD and post-treatment.

In operation 207, the substrate including the deposited layer is periodically exposed to a plasma without a silicon-containing or germanium-containing reactant. In some embodiments, operation 207 is performed at a substrate temperature of less than about 400° C., or between about 250° C. and about 350° C., for example about 275° C. In various embodiments, where the layer is deposited by a pulsed plasma PECVD process, plasma exposure during each pulse in operation 205 is substantially shorter than the post-treatment plasma duration of operation 207 of FIG. 2. For example, in various embodiments, plasma exposure in operation 207 may have a duration between about 10 seconds and about 50 seconds.

Further, unlike operation 205, during operation 207, no silicon-containing or germanium-containing reactants are flowed to the process chamber. Rather, an inert gas or a combination of gases is flowed to the process chamber during operation 207 when the plasma is ignited, thereby generating a plasma species that may be capable of modifying and densifying the deposited film. Gases may be selected depending on the type of film to be deposited and the reactants used during the deposition process. A general list of possible gases includes nitrogen only, ammonia only, nitrogen/ammonia mixture, argon only, helium only, argon/helium mixture, and combinations thereof. Other noble gases may also be used. In some embodiments, even if nitrogen is used in operation 205 to form silicon nitride, operation 207 may involve exposure to nitrogen plasma during post-treatment to reduce hydrogen content and densify the film. It is believed that periodic exposure to longer durations of plasma with inert gas reduces hydrogen content of the deposited film. The upper region of the film may have reduced hydrogen content. For example, in some embodiments, the top about 25 Å to about 30 Å of the film may have reduced hydrogen content.

In operation 209, operations 203-207 may be optionally repeated such that a silicon-containing film is deposited in various cycles, each cycle including pulsed plasma PECVD and post-treatment. Repeated cycles may be performed to improve the quality of the deposited silicon-containing film.

FIG. 3 is an example timing sequence diagram showing an example of a method in accordance with certain disclosed embodiments. Process 300 includes two cycles of pulsed plasma PECVD and periodic plasma post-treatment: a deposition phase 303A, a periodic plasma post-treatment phase 315A, a deposition phase 303B, a periodic plasma post-treatment phase 315B. In this example process 300, deposition phase 303 includes deposition of a silicon nitride film using pulsed plasma PECVD as described above with respect to FIG. 2. The silicon-containing precursor used for example process 300 is disilane, which is continuously flowed throughout deposition phases 303A and 303B. The reactant used for example process 300 is nitrogen, which is continuously flowed throughout deposition phases 303A and 303B. The plasma is pulsed as shown in deposition phases 303A and 303B such that the plasma is turned on and off in short pulses. Inert gas is continuously flowed and acts as both a carrier gas and the inert gas for igniting plasma during periodic plasma post-treatment phases 315A and 315B. It will be understood that in some embodiments, the post-treatment phase may involve flowing a different post-treatment gas that is not the same as the carrier gas used.

In each of periodic plasma post-treatment phases 315A and 315B, the inert gas continues to flow and plasma is turned on and remained on for a duration longer than the pulses during the deposition phase. Although the example process 300 shows only one single “on” phase during periodic plasma post-treatment phase 315A and 315B, it will be understood that in some embodiments, periodic plasma may be pulsed for longer durations, such as between about 10 seconds and about 50 seconds, for two or more times during the post-treatment phase. During periodic plasma post-treatment phases 315A and 315B, the disilane and nitrogen gas flows are turned off.

Embodiments described herein, such as those described with respect to FIGS. 2 and three above, may be used for depositing conformal silicon-containing films for various applications. The conformality of films deposited using certain disclosed embodiments depends on the aspect ratio of the features over which the film is being deposited. For example, for high aspect ratio features, such as features having an aspect ratio of at least 3:1 or between about 3:1 and about 60:1, or between about 3:1 and about 40:1, disclosed embodiments may be used to deposit films having a step coverage of between about 40% to about 50%, while for lower aspect ratio features, such as features having an aspect ratio of less than 2:1, disclosed embodiments may be used to deposit films having a step coverage between about 75% and about 80%.

Films deposited using certain disclosed embodiments result in high quality, conformal, and in some embodiments, hermetic films. In some embodiments, silicon nitride films deposited using certain disclosed embodiments can be used as an encapsulation layer over a magnetic device, such as an MRAM device. Related methods for depositing high quality encapsulation layers are also described in U.S. Provisional Patent Application No. 62/333,054 filed May 6, 2016, and titled “METHODS OF ENCAPSULATION,” and U.S. patent application Ser. No. ______, filed Sep. 28, 2016, and titled “METHODS OF ENCAPSULATION” (Attorney Docket No. LAMRP261/3921-2US), which are incorporated by reference herein in their entireties and for all purposes.

The quality, wet etch rate, density, and hydrogen content of silicon-containing films deposited using certain disclosed embodiments may depend on the type of plasma used to deposit the film. For example, in some embodiments, a dual frequency plasma may be used. In other embodiments, a single frequency plasma may be used.

Silicon oxide films may be deposited using certain disclosed embodiments. Silicon oxide films deposited using certain disclosed embodiments may have an oxide density of at least about 2.1 g/cm3, or between about 2.1 g/cm3 and about 2.3 g/cm3. In some embodiments, silicon oxide films deposited using certain disclosed embodiments may have a hydrogen content between about 2% and about 15%. In some embodiments, the wet etch rate of silicon oxide films deposited using certain disclosed embodiments when exposed to a 100:1 hydrofluoric acid (HF) solution may be as low as about 50 Å/minute.

Silicon nitride deposited using dual frequency plasma may exhibit various characteristics. For example, the wet etch rate in dilute hydrofluoric acid (100:1 HF) of silicon nitride films deposited using dual frequency plasma may be less than about 20 Å per minute.

The wet etch rate in dilute hydrofluoric acid (100:1 HF) of silicon nitride films deposited using single frequency plasma may be less than about 10 Å per minute.

The density of silicon nitride films deposited using dual frequency plasma may be at least about 2.6 g/cm3. The density of silicon nitride films deposited using single frequency plasma may be at least about 2.1 g/cm3.

The hydrogen content of silicon nitride films deposited using dual frequency plasma may be less than about 15 a.u. The hydrogen content of silicon nitride films deposited using single frequency plasma may be less than about 26 a.u.

Apparatus

Deposition techniques provided herein may be implemented in a plasma enhanced chemical vapor deposition (PECVD) chamber or a conformal film deposition (CFD) chamber or in some embodiments, an atomic layer deposition (ALD) chamber. Such a chamber may take many forms, and may be part of an apparatus that includes one or more chambers or reactors (sometimes including multiple stations) such as described in further detail with respect to FIG. 5 that may each house one or more substrate or wafer and may be configured to perform various substrate processing operations. The one or more chambers may maintain the substrate in a defined position or positions (with or without motion within that position, e.g., rotation, vibration, or other agitation). In one implementation, a substrate undergoing film deposition may be transferred from one station to another within a chamber (or from one chamber to another within an apparatus) during the process. In other implementations, the substrate may be transferred from chamber to chamber within the apparatus to perform different operations, such as UV exposure operations, etching operations, or lithography operations. The full film deposition may occur entirely at a single station or any fraction of the total film thickness for any deposition step. While in process, each substrate may be held in place by a pedestal, substrate chuck, and/or other substrate-holding apparatus. For certain operations in which the substrate is to be heated, the apparatus may include a heater, such as a heating plate.

FIG. 4 provides a simple block diagram depicting various reactor components arranged for implementing methods described herein. Reactor 400 may be used to deposit layers as described herein. As shown, a reactor 400 includes a process chamber 424 that encloses other components of the reactor and serves to contain a plasma generated by a capacitive-discharge type system including a showerhead 414 working in conjunction with a grounded heater block 420. A high frequency (HF) radio frequency (RF) generator 404 and a low frequency (LF) RF generator 402 may be connected to a matching network 406 and to the showerhead 414. The power and frequency supplied by matching network 406 may be sufficient to generate a plasma from process gases supplied to the process chamber 424. For example, the matching network 406 may provide 100 W to 1000 W of power. The HFRF component may generally be between 1 MHz to 100 MHz, e.g., 13.56 MHz. In operations where there is an LF component, the LF component may be from less than about 1 MHz, e.g., 100 kHz. In some embodiments, the plasma may be pulsed for a pulse frequency between about 300 Hz and about 1.5 kHz, such as about 500 Hz for a duty cycle. Controller 428 may be configured to set the duration of each plasma pulse to a duration of about 0.01 ms to about 5 ms, such as between about 0.05 ms and about 1.9 ms. In some embodiments, the plasma may be turned on for periodic plasma treatment as a post-treatment as described herein. For periodic plasma treatment, the plasma may be turned on for a duration between about 10 seconds and about 50 seconds.

Within the reactor 400, a pedestal 418 may support a substrate 416. The pedestal 418 may include a chuck, a fork, or lift pins (not shown) to hold and transfer the substrate 416 during and between the deposition and/or post-treatment operations. The chuck may be an electrostatic chuck, a mechanical chuck, or various other types of chuck as are available for use in the industry and/or for research.

Various process gases may be introduced via inlet 412. For example, gases may include a Group IV-containing precursor such as a silicon-containing precursor or a germanium-containing precursor. Gases may include a reactant, such as a nitrogen-containing reactant (such as nitrogen or ammonia), a carbon-containing reactant, an oxygen-containing reactant, an oxygen-and-carbon-containing reactant, and combinations thereof. In some embodiments, inert gases or carrier gases may also be flowed. Example inert gases include argon, helium, nitrogen, and ammonia. In some embodiments, carrier gases are diverted prior to delivering process gases to the process chamber 424.

Multiple source gas lines 410 are connected to manifold 408. The gases may be premixed or not. Appropriate valving and mass flow control mechanisms may be employed to ensure that the correct process gases are delivered during the deposition and post-treatment phases of the process. In the case where a chemical precursor(s) is delivered in liquid form, liquid flow control mechanisms may be employed. Such liquids may then be vaporized and mixed with process gases during transportation in a manifold heated above the vaporization point of the chemical precursor supplied in liquid form before reaching the process chamber 424.

Process gases, such as a silicon-containing precursor or nitrogen-containing gas, may exit process chamber 424 via an outlet 422. A vacuum pump 440, e.g., a one or two stage mechanical dry pump and/or turbomolecular pump, may be used to draw process gases out of the process chamber 424 and to maintain a suitably low pressure within the process chamber 424 by using a closed-loop-controlled flow restriction device, such as a throttle valve or a pendulum valve.

Apparatus 400 includes a controller 428 which may include one or more memory devices, one or more mass storage devices, and one or more processors. The processor may include a CPU or computer, analog and/or digital input/output connections, stepper motor controller boards, etc. The apparatus 400 includes system controller 428 employed to control process conditions and hardware states of process tool 400. The controller 428 may be configured to deliver certain process gases at various flow rates for certain durations and control plasma frequency, plasma pulse frequency, plasma power, and other process conditions as described herein. The controller 428 may be configured to turn the plasma on and off in accordance with some embodiments. The controller 428 may have any of the characteristics of controller 550 described below with respect to FIG. 5.

As discussed above, the techniques for deposition and post-treatment as discussed herein may be implemented on a multi-station or single station tool. FIG. 5 is a schematic illustration of an example of such a tool. In specific implementations, a 300 mm Lam Vector™ tool having a 4-station deposition scheme or a 200 mm Sequel™ tool having a 6-station deposition scheme may be used. In some implementations, tools for processing 450 mm substrates may be used. In various implementations, the substrates may be indexed after every deposition and/or post-deposition plasma treatment, or may be indexed after etching steps if the etching chambers or stations are also part of the same tool, or multiple depositions and treatments may be conducted at a single station before indexing substrates.

FIG. 5 shows a schematic view of an embodiment of a multi-station processing tool 500 with an inbound load lock 502 and an outbound load lock 504, either or both of which may include a remote plasma source. A robot 506, at atmospheric pressure, is configured to move wafers from a cassette loaded through a pod 508 into inbound load lock 502 via an atmospheric port 510. A wafer is placed by the robot 506 on a pedestal 512 in the inbound load lock 502, the atmospheric port 510 is closed, and the load lock is pumped down 502. Where the inbound load lock 502 includes a remote plasma source, the wafer may be exposed to a remote plasma treatment in the inbound load lock 502 prior to being introduced into a processing chamber 514. Further, the wafer also may be heated in the inbound load lock 502 as well, for example, to remove moisture and adsorbed gases. In some embodiments, the wafer may be subject to a “temperature soak” as described elsewhere herein in the inbound load lock 502.

A chamber transport port 516 to processing chamber 514 is opened, and another robot (not shown) places the wafer into the reactor on a pedestal of a first station shown in the reactor for processing. While the embodiment depicted in FIG. 5 includes load locks, it will be appreciated that, in some embodiments, direct entry of a wafer into a process station may be provided.

The depicted processing chamber 514 includes four process stations, numbered from 1 to 4 in the embodiment shown in FIG. 5. Each station has a heated pedestal (shown at 518 for station 1), and gas line inlets. It will be appreciated that in some embodiments, each process station may have different or multiple purposes. For example, in some embodiments, a process station may be switchable between a pulsed plasma PECVD deposition mode and a periodic plasma post-treatment process mode. In some embodiments, a process station may be switchable between a chemical vapor deposition (CVD) process mode and a plasma enhanced chemical vapor deposition (PECVD) process mode. Additionally or alternatively, in some embodiments, processing chamber 514 may include one or more matched pairs of posts plasma PECVD process stations. While the depicted processing chamber 514 includes four stations, it will be understood that a processing chamber according to certain disclosed embodiments may have any suitable number of stations. For example, in some embodiments, a processing chamber may have five or more stations, while in other embodiments a processing chamber may have three or fewer stations.

FIG. 5 depicts an embodiment of a wafer handling system 590 for transferring wafers within processing chamber 514. In some embodiments, wafer handling system 590 may transfer wafers between various process stations and/or between a process station and a load lock. It will be appreciated that any suitable wafer handling system may be employed. Non-limiting examples include wafer carousels and wafer handling robots. FIG. 5 also depicts an embodiment of a system controller 550 employed to control process conditions and hardware states of process tool 500. System controller 550 may include one or more memory devices 556, one or more mass storage devices 554, and one or more processors 552. One or more processors 552 may include a CPU or computer, analog and/or digital input/output connections, stepper motor controller boards, etc.

In some embodiments, system controller 550 controls all of the activities of process tool 500. System controller 550 executes system control software 558 stored in mass storage device 554, loaded into memory device 556, and executed on processor 552. Alternatively, the control logic may be hard coded in the controller 550. Applications Specific Integrated Circuits, Programmable Logic Devices (e.g., field-programmable gate arrays, or FPGAs) and the like may be used for these purposes. In the following discussion, wherever “software” or “code” is used, functionally comparable hard coded logic may be used in its place. System control software 558 may include instructions for controlling the timing, mixture of gases, amount of gas flow, chamber and/or station pressure, chamber and/or station temperature, wafer temperature, target power levels, RF power levels, substrate pedestal, chuck and/or susceptor position, plasma pulse frequency, plasma exposure duration, and other parameters of a particular process performed by process tool 500. System control software 558 may be configured in any suitable way. For example, various process tool component subroutines or control objects may be written to control operation of the process tool components necessary to carry out various process tool processes. System control software 558 may be coded in any suitable computer readable programming language.

In some embodiments, system control software 558 may include input/output control (IOC) sequencing instructions for controlling the various parameters described above. Other computer software and/or programs stored on mass storage device 554 and/or memory device 556 associated with system controller 550 may be employed in some embodiments. Examples of programs or sections of programs for this purpose include a substrate positioning program, a process gas control program, a pressure control program, a heater control program, and a plasma control program.

A substrate positioning program may include program code for process tool components that are used to load the substrate onto pedestal and to control the spacing between the substrate and other parts of process tool.

A process gas control program may include code for controlling gas composition (e.g., silicon-containing gases, germanium-containing gases, nitrogen-containing gases, carbon-containing gases, oxygen-and-carbon-containing gases, and carbon-containing gases as described herein) and flow rates and optionally for flowing gas into one or more process stations prior to deposition in order to stabilize the pressure in the process station. A pressure control program may include code for controlling the pressure in the process station by regulating, for example, a throttle valve in the exhaust system of the process station, a gas flow into the process station, etc.

A heater control program may include code for controlling the current to a heating unit that is used to heat the substrate. Alternatively, the heater control program may control delivery of a heat transfer gas (such as helium) to the substrate.

A plasma control program may include code for setting RF power levels applied to the process electrodes in one or more process stations in accordance with the embodiments herein.

A pressure control program may include code for maintaining the pressure in the reaction chamber in accordance with the embodiments herein.

In some embodiments, there may be a user interface associated with system controller 550. The user interface may include a display screen, graphical software displays of the apparatus and/or process conditions, and user input devices such as pointing devices, keyboards, touch screens, microphones, etc.

In some embodiments, parameters adjusted by system controller 550 may relate to process conditions. Non-limiting examples include process gas composition and flow rates, temperature, pressure, plasma conditions (such as RF bias power levels), etc. These parameters may be provided to the user in the form of a recipe, which may be entered utilizing the user interface.

Signals for monitoring the process may be provided by analog and/or digital input connections of system controller 550 from various process tool sensors. The signals for controlling the process may be output on the analog and digital output connections of process tool 500. Non-limiting examples of process tool sensors that may be monitored include mass flow controllers, pressure sensors (such as manometers), thermocouples, etc. Appropriately programmed feedback and control algorithms may be used with data from these sensors to maintain process conditions.

System controller 550 may provide program instructions for implementing the above-described deposition processes. The program instructions may control a variety of process parameters, such as DC power level, RF bias power level, pressure, temperature, plasma pulse frequency, plasma exposure duration, UV exposure duration, etc. The instructions may control the parameters to operate in-situ deposition of film stacks according to various embodiments described herein.

The system controller 550 will typically include one or more memory devices and one or more processors configured to execute the instructions so that the apparatus will perform a method in accordance with disclosed embodiments. Machine-readable media containing instructions for controlling process operations in accordance with disclosed embodiments may be coupled to the system controller 550.

In some implementations, a controller 550 is part of a system, which may be part of the above-described examples. Such systems can include semiconductor processing equipment, including a processing tool or tools, chamber or chambers, a platform or platforms for processing, and/or specific processing components (a wafer pedestal, a gas flow system, etc.). These systems may be integrated with electronics for controlling their operation before, during, and after processing of a semiconductor wafer or substrate. The electronics may be referred to as the “controller,” which may control various components or subparts of the system or systems. The controller, depending on the processing requirements and/or the type of system, may be programmed to control any of the processes disclosed herein, including the delivery of processing gases, temperature settings (e.g., heating and/or cooling), pressure settings, vacuum settings, power settings, radio frequency (RF) generator settings, RF matching circuit settings, frequency settings, flow rate settings, plasma pulse frequency settings, fluid delivery settings, positional and operation settings, wafer transfers into and out of a tool and other transfer tools and/or load locks connected to or interfaced with a specific system.

Broadly speaking, the controller 550 may be defined as electronics having various integrated circuits, logic, memory, and/or software that receive instructions, issue instructions, control operation, enable cleaning operations, enable endpoint measurements, and the like. The integrated circuits may include chips in the form of firmware that store program instructions, digital signal processors (DSPs), chips defined as application specific integrated circuits (ASICs), and/or one or more microprocessors, or microcontrollers that execute program instructions (e.g., software). Program instructions may be instructions communicated to the controller in the form of various individual settings (or program files), defining operational parameters for carrying out a particular process on or for a semiconductor wafer or to a system. The operational parameters may, in some embodiments, be part of a recipe defined by process engineers to accomplish one or more processing steps during the fabrication of one or more layers, materials, metals, oxides, silicon, silicon dioxide, surfaces, circuits, and/or dies of a wafer.

The controller 550, in some implementations, may be a part of or coupled to a computer that is integrated with, coupled to the system, otherwise networked to the system, or a combination thereof. For example, the controller may be in the “cloud” or all or a part of a fab host computer system, which can allow for remote access of the wafer processing. The computer may enable remote access to the system to monitor current progress of fabrication operations, examine a history of past fabrication operations, examine trends or performance metrics from a plurality of fabrication operations, to change parameters of current processing, to set processing steps to follow a current processing, or to start a new process. In some examples, a remote computer (e.g. a server) can provide process recipes to a system over a network, which may include a local network or the Internet. The remote computer may include a user interface that enables entry or programming of parameters and/or settings, which are then communicated to the system from the remote computer. In some examples, the controller 550 receives instructions in the form of data, which specify parameters for each of the processing steps to be performed during one or more operations. It should be understood that the parameters may be specific to the type of process to be performed and the type of tool that the controller is configured to interface with or control. Thus as described above, the controller 550 may be distributed, such as by including one or more discrete controllers that are networked together and working towards a common purpose, such as the processes and controls described herein. An example of a distributed controller for such purposes would be one or more integrated circuits on a chamber in communication with one or more integrated circuits located remotely (such as at the platform level or as part of a remote computer) that combine to control a process on the chamber.

In some embodiments, an apparatus may be provided that is configured to perform the techniques described herein. A suitable apparatus may include hardware for performing various process operations as well as a system controller 550 having instructions for controlling process operations in accordance with the disclosed embodiments. The system controller 550 will typically include one or more memory devices and one or more processors communicatively connected with various process control equipment, e.g., valves, RF generators, substrate handling systems, etc., and configured to execute the instructions so that the apparatus will perform a technique in accordance with the disclosed embodiments, e.g., a technique such as that provided in the operations of FIG. 2. Machine-readable media containing instructions for controlling process operations in accordance with the present disclosure may be coupled to the system controller 550. The controller 550 may be communicatively connected with various hardware devices, e.g., mass flow controllers, valves, RF generators, vacuum pumps, etc. to facilitate control of the various process parameters that are associated with the deposition operations as described herein.

In some embodiments, a system controller 550 may control all of the activities of the reactor 500. The system controller 550 may execute system control software stored in a mass storage device, loaded into a memory device, and executed on a processor. The system control software may include instructions for controlling the timing of gas flows, substrate movement, RF generator activation, etc., as well as instructions for controlling the mixture of gases, the chamber and/or station pressure, the chamber and/or station temperature, the substrate temperature, the target power levels, the RF power levels, the substrate pedestal, chuck, and/or susceptor position, and other parameters of a particular process performed by the reactor apparatus 500. For example, the software may include instructions or code for controlling the flow rate of a silicon-containing precursor, the flow rate of a reactant, plasma frequency, plasma pulse frequency, plasma power, and precursor and reactant exposure times for each of the above described flow chemistries. The system control software may be configured in any suitable way. For example, various process tool component subroutines or control objects may be written to control operation of the process tool components necessary to carry out various process tool processes. The system control software may be coded in any suitable computer readable programming language.

The system controller 550 may typically include one or more memory devices 556 and one or more processors 552 configured to execute the instructions so that the apparatus will perform a technique in accordance with disclosed embodiments. Machine-readable media containing instructions for controlling process operations in accordance with disclosed embodiments may be coupled to the system controller 550.

Without limitation, example systems may include a plasma etch chamber or module, a deposition chamber or module, a spin-rinse chamber or module, a metal plating chamber or module, a clean chamber or module, a bevel edge etch chamber or module, a physical vapor deposition (PVD) chamber or module, a chemical vapor deposition (CVD) chamber or module, an atomic layer deposition (ALD) chamber or module, an atomic layer etch (ALE) chamber or module, an ion implantation chamber or module, a track chamber or module, and any other semiconductor processing systems that may be associated or used in the fabrication and/or manufacturing of semiconductor wafers.

As noted above, depending on the process step or steps to be performed by the tool, the controller might communicate with one or more of other tool circuits or modules, other tool components, cluster tools, other tool interfaces, adjacent tools, neighboring tools, tools located throughout a factory, a main computer, another controller, or tools used in material transport that bring containers of wafers to and from tool locations and/or load ports in a semiconductor manufacturing factory.

An appropriate apparatus for performing the methods disclosed herein is further discussed and described in U.S. Pat. No. 8,728,956, issued on May 20, 2014, and filed Apr. 11, 2011, and titled “PLASMA ACTIVATED CONFORMAL FILM DEPOSITION”; and U.S. patent application Ser. No. 13/084,305, filed Apr. 11, 2011, and titled “SILICON NITRIDE FILMS AND METHODS,” each of which is incorporated herein in its entireties.

The apparatuses and processes described herein may be used in conjunction with lithographic patterning tools or processes, for example, for the fabrication or manufacture of semiconductor devices, displays, LEDs, photovoltaic panels and the like. Typically, though not necessarily, such tools/processes will be used or conducted together in a common fabrication facility. Lithographic patterning of a film typically includes some or all of the following operations, each operation enabled with a number of possible tools: (1) application of photoresist on a workpiece, i.e., substrate, using a spin-on or spray-on tool; (2) curing of photoresist using a hot plate or furnace or UV curing tool; (3) exposing the photoresist to visible or UV or x-ray light with a tool such as a wafer stepper; (4) developing the resist so as to selectively remove resist and thereby pattern it using a tool such as a wet bench; (5) transferring the resist pattern into an underlying film or workpiece by using a dry or plasma-assisted etching tool; and (6) removing the resist using a tool such as an RF or microwave plasma resist stripper.

EXPERIMENTAL Experiment 1

An experiment was conducted involving depositions of silicon oxide using continuous exposure to plasma and using pulsed plasma for patterned substrates having various aspect ratios. A first set of substrates exposed to disilane and oxygen and a plasma was ignited continuously at a frequency of 13.56 MHz. A second set of substrates were exposed to disilane and oxygen continuously while the plasma was pulsed on and off for pulsed durations of 0.02 ms at a plasma frequency of 13.56 MHz. The step coverage was measured for the deposited films and the results are depicted in the graph in FIG. 6A.

As shown in FIG. 6A, generally, the step coverage of silicon oxide films deposited using continuous plasma was less than the step coverage of silicon oxide films deposited using pulsed plasma. One data point 601 is circled for a substrate having features with an aspect ratio of 1 with step coverage greater than 60%. An image of this substrate with the deposited silicon oxide film is shown in FIG. 6B. These results suggest that pulsed plasma results in higher step coverage films than using continuous plasma.

Experiment 2

An experiment was conducted involving deposition of silicon nitride using continuous exposure to plasma and using pulsed plasma for patterned substrates having various aspect ratios. A first set of substrates were exposed to disilane and nitrogen and ammonia and plasma was ignited continuously at a frequency of 13.56 MHz. A second set of substrates were exposed to disilane and nitrogen continuously while the plasma was pulsed with plasma on (0.02 ms) and off (1.98 ms) at a plasma frequency of 13.56 MHz. The step coverage was measured for the deposited films and the results are depicted in the graph in FIG. 7A.

As shown in FIG. 7A, the step coverage of silicon nitride films deposited using continuous plasma was generally less than the step coverage of silicon nitride films deposited using pulsed plasma. One data point 602 is circled for a substrate having features with an aspect ratio of 1 with step coverage of about 100%. An image of this substrate with the deposited silicon nitride film is shown in FIG. 7B. These results suggest that pulsed plasma result in higher step coverage films for silicon nitride and using continuous plasma.

Experiment 3

An experiment was conducted involving exposing silicon nitride films deposited using continuous plasma and silicon nitride films deposited using pulsed plasma to dilute hydrofluoric acid to determine the wet etch rate ratio.

A silicon nitride film was deposited at 400° C. by flowing SiH4, NH3, and N2 continuously while igniting plasma continuously at a plasma frequency of 13.56 MHz. The film was exposed to dilute hydrofluoric acid to determine the wet etch rate ratio relative to thermal oxide. The result is depicted in the solid bar of FIG. 8.

A silicon nitride film was deposited at 400° C. by flowing SiH4, NH3, and N2 and continuously while igniting a plasma in pulses at a plasma frequency of 13.56 MHz. The pulse duration was set with 0.2 ms plasma on and 1.8 ms plasma off. A separate silicon nitride film was deposited by flowing SiH4, NH3, and N2 continuously while igniting plasma in pulses at a plasma pulse frequency of 13.56 MHz. The pulse (RF on 0.02 ms, RF off 1.98 ms). Both films were exposed to dilute hydrofluoric acid to determine the wet etch rate ratio relative to thermal oxide. The results are depicted in the white bars of FIG. 8. As shown, the films deposited using pulsed plasma PECVD resulted in a lower wet etch rate than the film deposited using continuous conventional PECVD.

Experiment 4

An experiment was conducted involving determining hydrogen content, wet etch rate, and density of silicon nitride films deposited using pulsed plasma PECVD with post-treatment as compared to films deposited using pulsed plasma PECVD only and films deposited using conventional PECVD.

For conventional PECVD, substrates were exposed to continuous flows of silane, ammonia and nitrogen and continuous plasma to form a 200 Å layer of silicon nitride.

For pulsed plasma PECVD, substrates were exposed to continuous flows of silane, ammonia and nitrogen, and plasma was ignited and pulsed using pulses (0.02 ms RF on/1.98 ms off), for multiple pulses until a 200 Å layer of SiN was deposited.

For pulsed plasma PECVD with post-treatment, substrates were exposed to continuous flows of silane, ammonia and nitrogen, and plasma was ignited and pulsed using pulses (0.02 ms RF on/1.98 ms RF off) for multiple cycles until a 25 Å-30 Å layer was deposited. This 25 Å-30 Å layer of SiN was followed by exposure to an argon plasma without any silane, nitrogen, or ammonia for a duration of 10-60 seconds. The deposition/post treatment cycle was repeated until the total film thickness was 200 Å.

A set of Process Conditions A as shown below in Table 1 were used for post-treatment using a dual frequency plasma using both HF and LF. The results for hydrogen content, wet etch rate, and density are depicted in FIGS. 9A, 9B, and 9C, respectively.

TABLE 1 Process Conditions A SiH4 NH3 N2A N2B Pressure HF LF (sccm) (sccm) (sccm) (sccm) (Torr) (W) (W) 800 800 10000 10000 1.5 800 400

A set of Process Conditions B as shown below in Table 2 were used for post-treatment using a single frequency plasma. The results for hydrogen content, wet etch rate, and density are depicted in FIGS. 9A, 9B, and 9C, respectively.

TABLE 2 Process Conditions B SiH4 NH3 N2A N2B Pressure HF LF (sccm) (sccm) (sccm) (sccm) (Torr) (W) (W) 100 2125 8000 8000 9 500 0

FIG. 9A shows the total hydrogen content. As shown, performing pulsed plasma with post-treatment resulted in the lowest amount of hydrogen content for both Process Conditions A and Process Conditions B trials.

FIG. 9B shows the wet etch rate in 200:1 hydrofluoric acid. As shown, pulsed plasma alone resulted in a substantially decreased wet etch rate for the single frequency plasma trials and performing pulsed plasma with post-treatment resulted in the lowest wet etch rate for both Process Conditions A and Process Conditions B trials.

FIG. 9C shows the density of the film. As shown, performing pulsed plasma with post-treatment resulted in the highest density for both trials.

Experiment 5

An experiment was conducted involving determining hydrogen content for various silicon nitride films deposited using certain disclosed embodiments.

A first substrate was exposed to silane and ammonia in continuous plasma to deposit a 1000 Å silicon nitride film using a first set of process conditions. No post-treatment operation was performed. A second substrate was exposed to silane and ammonia in continuous plasma to deposit a 1000 Å silicon nitride film using a second set of process conditions. No post-treatment operation was performed. A third substrate was exposed to silane and ammonia in continuous plasma to deposit a 1000 Å silicon nitride film using a third set of process conditions. No post-treatment was performed.

The amount of hydrogen content was determined for each of the three substrates and FTIR spectra were obtained. The FTIR spectra are shown in FIGS. 10A, 10B, and 10C, where the dotted lines represent the substrates without post-treatment.

These three substrates were then exposed to post-treatment in an argon and nitrogen gas-based inert plasma. The amount of hydrogen content was determined for each of the three substrates that were subject to post-treatment. The FTIR spectra were also obtained. The FTIR spectra for the substrates subject to post-treatment are shown in FIGS. 10A, ten B, and ten C, where the solid lines represent the substrates that were subjected to post-treatment.

The resulting hydrogen content and process conditions used for each of these experiments are depicted in Table 3.

TABLE 3 Process Conditions and Hydrogen Content Substrate 1 Substrate 2 Substrate 3 (FIG. 10A) (FIG. 10B) (FIG. 10C) PROCESS CONDITIONS SiH4 800 sccm 75 sccm 75 sccm NH3 800 sccm 50 sccm 0 sccm N2 10000 sccm 8000 sccm 10000 sccm Pressure 1.5 Torr 7 Torr 3 Torr HF Power 800 W 750 W 1060 W LF Power 400 W 0 W 0 W Pedestal Temperature 275° C. 275° C. 275° C. WITHOUT POST-TREATMENT % Si—H 19.1 6.4 11.2 % N—H 11.4 18.3 9.3 H Content 30.5 24.7 20.5 WITH AR POST-TREATMENT % Si—H 1.0 0.0 0.0 % N—H 11.3 12.6 12.6 H Content 0.0 9.0 9.1

These results suggest that hydrogen content is lowered where substrates are subject to post-treatment when depositing a silicon nitride film. The results also suggest that the post-treatment process primarily acts on Si—H bonds as opposed to N—H bonds. The FTIR spectra also show a higher absorbance peak for all three substrates when the substrate is exposed to post-plasma treatment, thereby suggesting that post-treated films have higher density.

CONCLUSION

Although the foregoing embodiments have been described in some detail for purposes of clarity of understanding, it will be apparent that certain changes and modifications may be practiced within the scope of the appended claims. It should be noted that there are many alternative ways of implementing the processes, systems, and apparatus of the present embodiments. Accordingly, the present embodiments are to be considered as illustrative and not restrictive, and the embodiments are not to be limited to the details given herein.

Claims

1. A method of processing a substrate housed in a process chamber, the method comprising:

introducing a silicon-containing precursor and a reactant to the process chamber at a substrate temperature less than about 400° C.;
igniting and pulsing a first plasma while introducing the silicon-containing precursor and the reactant to generate radical species for forming a silicon-containing film over the substrate; and
after forming the silicon-containing film, performing a post-treatment operation, the post-treatment operation comprising: stopping flow of the silicon-containing precursor and the flow of the reactant; introducing a post-treatment gas into the process chamber; and igniting a second plasma to treat the silicon-containing film,
wherein a duration of each pulse during the pulsing of the first plasma is between about 0.02 ms and about 5 ms, and
wherein the silicon-containing precursor is silane (SiH4).

2. The method of claim 1, wherein the first plasma is pulsed between 500 and 2000 times.

3. The method of claim 1, wherein the post-treatment operation is performed after the silicon-containing film is formed to a thickness between about 20 Å and about 50 Å.

4. The method of claim 1, wherein the second plasma is ignited for a duration between about 10 seconds and about 60 seconds.

5. The method of claim 1, wherein the silicon-containing film is silicon oxide and the post-treated silicon-containing film has a wet etch rate in a 100:1 hydrofluoric acid solution of about 50 Å/minute.

6. A method of processing a substrate housed in a process chamber, the method comprising:

introducing a silicon-containing precursor and a reactant to the process chamber at a substrate temperature less than about 400° C.;
igniting and pulsing a first plasma while introducing the silicon-containing precursor and the reactant to generate radical species for forming a silicon-containing film over the substrate; and
after forming the silicon-containing film, performing a post-treatment operation, the post-treatment operation comprising: stopping flow of the silicon-containing precursor and the flow of the reactant; introducing a post-treatment gas into the process chamber; and igniting a second plasma to treat the silicon-containing film,
wherein a duration of each pulse during the pulsing of the first plasma is between about 0.02 ms and about 5 ms, and
wherein the silicon-containing film is silicon nitride and the post-treated silicon-containing film has a wet etch rate in a 100:1 hydrofluoric acid solution of less than about 20 Å/minute.

7. The method of claim 1, wherein the silicon-containing film is selected from the group consisting of silicon nitride, silicon carbide, and silicon oxide.

8. The method of claim 1, wherein the silicon-containing film is deposited at a temperature of between about 250° C. and about 350° C.

9. The method of claim 1, wherein the post-treatment operation is performed at a temperature of between about 250° C. and about 350° C.

10. The method of claim 1, wherein the process chamber has a chamber pressure between about 2 Torr and about 10 Torr.

11. The method of claim 1, wherein the silicon-containing film is deposited over a magnetic device.

12. The method of claim 1, wherein the first and second plasma are generated in situ.

13. The method of claim 1, wherein the first and second plasmas are ignited using a dual frequency plasma generator.

14. (canceled)

15. A method of processing a substrate housed in a process chamber, the method comprising:

introducing a silicon-containing precursor and a reactant to the process chamber at a substrate temperature less than about 400° C.;
igniting and pulsing a first plasma while introducing the silicon-containing precursor and the reactant to generate radical species for forming a silicon-containing film over the substrate; and
after forming the silicon-containing film, performing a post-treatment operation, the post-treatment operation comprising: stopping flow of the silicon-containing precursor and the flow of the reactant; introducing a post-treatment gas into the process chamber; and igniting a second plasma to treat the silicon-containing film,
wherein a duration of each pulse during the pulsing of the first plasma is between about 0.02 ms and about 5 ms,
wherein the first and second plasmas are ignited using a dual frequency plasma generator, and
wherein the silicon-containing film is silicon nitride, and wherein the density of the silicon nitride is at least about 2.6 g/cm3.

16. The method of claim 1, wherein the first and second plasmas are ignited using a single frequency plasma generator.

17. (canceled)

18. The method of claim 16, wherein the silicon-containing film is silicon nitride, and wherein the density of the silicon nitride is at least about 2.1 g/cm3.

19. The method of claim 1, wherein the silicon-containing film is deposited as an encapsulation layer over a magnetic device.

20. The method of claim 1, wherein the post-treatment operation is performed to remove hydrogen from the silicon-containing film.

Patent History
Publication number: 20170323785
Type: Application
Filed: Sep 28, 2016
Publication Date: Nov 9, 2017
Inventors: Akhil Singhal (Beaverton, OR), Joseph Hung-chi Wei (Portland, OR), Yisha Mao (Yokkaichi-shi), Bart J. van Schravendijk (Palo Alto, CA)
Application Number: 15/279,314
Classifications
International Classification: H01L 21/02 (20060101); H01L 43/08 (20060101); H01L 43/02 (20060101); H01L 21/02 (20060101); H01L 21/02 (20060101); C23C 16/50 (20060101); C23C 16/24 (20060101); H01L 21/02 (20060101); C23C 16/455 (20060101); H01J 37/32 (20060101); H01J 37/32 (20060101); H01L 43/12 (20060101); H01L 21/02 (20060101);