SEMICONDUCTOR PACKAGE WITH TSV DIE

- MEDIATEK INC.

A semiconductor package includes a bottom package having a substrate and a semiconductor die mounted on a top surface of the substrate. The semiconductor die has an active surface and a rear surface coupled to the top surface of the substrate. The semiconductor die comprises through silicon vias. A top package is stacked on the bottom package. The top package comprises a memory component. A middle re-distribution layer (RDL) structure is disposed between the top package and the bottom package. The active surface of the semiconductor die is directly connected to the middle RDL structure through connecting elements. The memory component is electrically connected to the substrate via the interconnect structures of the middle RDL structure and the through silicon vias of the semiconductor die.

Skip to: Description  ·  Claims  · Patent History  ·  Patent History
Description
CROSS REFERENCE TO RELATED APPLICATIONS

This application claims the benefit of U.S. Provisional Application No. 63/255,027, filed on Oct. 13, 2021. The content of the application is incorporated herein by reference.

BACKGROUND

The present disclosure relates generally to the field of semiconductor packaging. More particularly, the present disclosure relates to a semiconductor package with a through-silicon-via (TSV) logic die.

More and more high-end products have adopted package-on-package (PoP) such as High-Bandwidth PoP (HBPoP) or Fan-Out PoP. In conventional PoP design, the logic die and the memory dies are separately packaged. Testability of individual dies is improved because the logic and memory dies can be separately tested, and only known-good-dies, which pass the tests, are packaged. This keeps costs low and allows the ability to customize memory needs for particular processors.

However, there are limitations in conventional PoP design that can create issues in certain implementations. For example, in a conventional Fan-Out PoP, signals are transmitted through relatively longer paths, which are generally constructed by bond wires, the wire-bond fingers, and the substrate traces to DRAM balls of the DRAM package, the connection between the DRAM balls to through mold vias (TMVs), and the connection between the TMVs to the semiconductor die, which limits the application for higher speed and higher bandwidth memory.

There is a constant need in this industry to provide an improved PoP having shorter signal connection path between the mobile AP and the high-speed DDR DRAM package in mobile applications.

SUMMARY

One object of the present disclosure is to provide an improved semiconductor package with vertically integrated memory die and through-silicon-via (TSV) logic die, which supports very wide I/O interfaces and enables high-bandwidth memory access.

One aspect of the invention provides a semiconductor package including a bottom package comprising a substrate and a semiconductor die mounted on a top surface of the substrate. The semiconductor die has an active surface and a rear surface coupled to the top surface of the substrate. The semiconductor die comprises through silicon vias. The semiconductor die and the top surface of the substrate are encapsulated by a first molding compound. A top package is stacked on the bottom package. The top package comprises a memory component. A middle re-distribution layer (RDL) structure is disposed between the top package and the bottom package. The active surface of the semiconductor die is directly connected to the middle RDL structure through connecting elements. The middle RDL structure comprises dielectric layers and interconnect structures. The memory component is electrically connected to the substrate via the interconnect structures of the middle RDL structure and the through silicon vias of the semiconductor die.

According to some embodiments, the semiconductor die comprises a central processing unit (CPU), a graphics processing unit (GPU), a system-on-a-chip (SOC), a field-programmable gate array (FPGA), a microcontroller unit (MCU), a power management integrated circuit (PMIC) die, a radio frequency (RF) die, a sensor die, a micro-electro-mechanical-system (MEMS) die, or a signal processing die.

According to some embodiments, the substrate is a re-distribution layer (RDL) substrate.

According to some embodiments, the substrate comprises a plurality of connection pads disposed on or near the top surface of the substrate, a plurality of conductive traces interconnecting the plurality of connection pads with a plurality of ball pads distributed on or near a bottom surface of the substrate.

According to some embodiments, a plurality of solder balls is disposed on the plurality of ball pads, respectively.

According to some embodiments, power or ground to the memory component is provided through the through silicon vias.

According to some embodiments, the connecting elements comprises micro-bumps.

According to some embodiments, a gap between the middle RDL structure and the active surface of the semiconductor die is filled with an underfill material.

According to some embodiments, a plurality of through mold vias is disposed in the first molding compound.

According to some embodiments, the memory component is a High-Bandwidth Memory (HBM) including multiple DRAM dies stacked on one another, wherein the stacked DRAM dies are vertically interconnected.

According to some embodiments, the memory component further comprises a DRAM base.

According to some embodiments, the DRAM base is electrically coupled to the middle RDL structure, wherein power or ground signals are transmitted to the solder balls on the bottom surface of the substrate via a conductive path comprised of the interconnect structures of the middle RDL structure, the connecting elements, the through silicon vias of the semiconductor die, and the conductive traces of the substrate.

According to some embodiments, the semiconductor package further comprises a second molding compound encapsulating the memory component.

According to some embodiments, the memory component is aligned with the semiconductor die and disposed at or near the center of the semiconductor die when viewed from above.

According to some embodiments, a sidewall of the top package is vertically flush with a sidewall of the bottom package.

According to some embodiments, the top package further comprises a dummy die.

Another aspect of the invention provides a semiconductor package including a substrate and a semiconductor die mounted on a top surface of the substrate in a flip-chip manner. The semiconductor die has an active surface and a passive rear surface. The semiconductor die comprises through silicon vias. A memory component is directly mounted on the semiconductor die. The memory component is electrically connected to the semiconductor die through connecting elements. The semiconductor die and the memory component are packaged in a unified molding compound.

According to some embodiments, the substrate comprises a plurality of conductive traces interconnecting the active surface of the semiconductor die with ball pads distributed on or near a bottom surface of the substrate.

According to some embodiments, solder balls are disposed on the ball pads, respectively.

According to some embodiments, power or ground signals are transmitted to the solder balls on the bottom surface of the substrate via a conductive path comprised of the connecting elements, the through silicon vias of the semiconductor die, and the conductive traces of the substrate.

According to some embodiments, the memory component is a High-Bandwidth Memory (HBM) including multiple DRAM dies stacked on one another, wherein the stacked DRAM dies are vertically interconnected by through silicon vias and microbumps.

According to some embodiments, the memory component further comprises a DRAM base.

According to some embodiments, the memory component is offset from a center of the semiconductor die.

According to some embodiments, the semiconductor package further comprises a dummy die disposed on the semiconductor die, which is packaged in the unified molding compound.

These and other objectives of the present invention will no doubt become obvious to those of ordinary skill in the art after reading the following detailed description of the preferred embodiment that is illustrated in the various figures and drawings.

BRIEF DESCRIPTION OF THE DRAWINGS

The accompanying drawings are included to provide a further understanding of the invention and are incorporated in and constitute a part of this specification. The drawings illustrate embodiments of the invention and, together with the description, serve to explain the principles of the invention. In the drawings:

FIG. 1 is a schematic, cross-sectional diagram showing an exemplary semiconductor package with a “face-up” TSV die in accordance with one embodiment of the disclosure;

FIG. 2 is a schematic, cross-sectional diagram showing a variant semiconductor package with a “face-up” TSV die and a dummy die in accordance with another embodiment of the disclosure;

FIG. 3 is a schematic, cross-sectional diagram showing an exemplary semiconductor package with a “face-down” TSV die in accordance with still another embodiment of the disclosure; and

FIG. 4 is a schematic, cross-sectional diagram showing an exemplary semiconductor package with a “face-down” TSV die and a dummy die in accordance with still another embodiment of the disclosure.

DETAILED DESCRIPTION

In the following detailed description of embodiments of the invention, reference is made to the accompanying drawings, which form a part hereof, and in which is shown by way of illustration specific preferred embodiments in which the disclosure may be practiced.

These embodiments are described in sufficient detail to enable those skilled in the art to practice them, and it is to be understood that other embodiments may be utilized and that mechanical, chemical, electrical, and procedural changes may be made without departing from the spirit and scope of the present disclosure. The following detailed description is, therefore, not to be taken in a limiting sense, and the scope of embodiments of the present invention is defined only by the appended claims.

It will be understood that when an element or layer is referred to as being “on”, “connected to” or “coupled to” another element or layer, it can be directly on, connected or coupled to the other element or layer or intervening elements or layers may be present. In contrast, when an element is referred to as being “directly on,” “directly connected to” or “directly coupled to” another element or layer, there are no intervening elements or layers present. Like numbers refer to like elements throughout. As used herein, the term “and/or” includes any and all combinations of one or more of the associated listed items.

Packaging of an integrated circuit (IC) chip can involve attaching the IC chip to a substrate (e.g., a packaging substrate) which, among other things, provides mechanical support and electrical connections between the chip and other electronic components of a device. Substrate types include, for example, cored substrates, including thin core, thick core (laminate bismaleimide-triazine resin (BT resin) or FR-4 type fibrous board material), and laminate core, as well as coreless substrates. Cored package substrates, for example, can be built up layer by layer around a central core, with layers of conductive material (usually copper) separated by layers of insulating dielectric, with interlayer connections being formed with through holes or microvias (vias).

High Bandwidth Memory (HBM) is a high-speed computer memory interface for 3D-stacked synchronous dynamic random-access memory (SDRAM). HBM achieves higher bandwidth while using less power in a substantially smaller form factor than DDR4 or GDDR5. This is achieved by stacking up to eight DRAM dies and an optional base die which can include buffer circuitry and test logic. The stack is often connected to the memory controller on a GPU or CPU through a substrate, such as a silicon interposer. Alternatively, the memory die could be stacked directly on the CPU or GPU chip. Within the stack the die are vertically interconnected by through-silicon vias (TSVs) and microbumps. The HBM DRAM uses wide-interface architecture to achieve high-speed, low-power operation.

FIG. 1 is a schematic, cross-sectional diagram showing an exemplary semiconductor package 1 with a TSV die in accordance with one embodiment of the disclosure. In some embodiments, the semiconductor package 1 may serve as a three-dimensional (3D) semiconductor package assembly including at least two vertically stacked semiconductor dies or chip packages. As shown in FIG. 1, the semiconductor package 1 comprises a bottom package 10 and a top package 30 stacked on the bottom package 10. In some embodiments, for example, the bottom package 10 may comprise a substrate 100 and a semiconductor die (TSV die) 101, such as a logic die, mounted on a top surface (die-attach surface) 100a of the substrate 100. The semiconductor die 101 and the top surface 100a of the substrate 100 may be encapsulated by a molding compound 120. In some embodiments, the semiconductor package 1 may be mounted on a printed circuit board 500 or any carrier substrate known in the art.

According to an embodiment, for example, the semiconductor die 101a may be a central processing unit (CPU), a graphics processing unit (GPU), a system-on-a-chip (SOC), a field-programmable gate array (FPGA), a microcontroller unit (MCU), a power management integrated circuit (PMIC) die, a radio frequency (RF) die, a sensor die, a micro-electro-mechanical-system (MEMS) die, or a signal processing die (e.g., digital signal processing (DSP) die), but is not limited thereto. In some embodiments, the bottom package 10 may include one or more logic dies.

According to an embodiment, for example, the substrate 100 may be a circuit board and may be formed of polymer materials such as bismaleimide triazine (BT) laminates and/or build-up films known in the art. In some embodiments, the substrate 100 may be a re-distribution layer (RDL) substrate that has a thinner thickness. It is to be understood that the substrate 100 may be a single layer or a multi-layer structure. According to an embodiment, for example, the substrate 100 may comprise a plurality of connection pads 100p disposed on or near the top surface 100a of the substrate 100. According to an embodiment, for example, the substrate 100 may comprise a plurality of conductive traces 100t interconnecting the plurality of connection pads 100p with a plurality of ball pads 100s distributed on or near a bottom surface 100b of the substrate 100. Solder balls SB are disposed on the ball pads 100s, respectively. In some embodiments, at least one passive device PE may be mounted on the bottom surface 100b of the substrate 100 using surface mount technique (SMT).

According to an embodiment, the semiconductor die 101 is mounted on the top surface 100a of the substrate 100. According to an embodiment, the semiconductor die 101 has a top surface (or active surface) 101a and a passive rear surface 101b. The circuit elements such as transistors are fabricated on or near the top surface 101a of the semiconductor die 101. According to an embodiment, the passive rear surface 101b of the semiconductor die 101 may be coupled to the top surface 100a of the substrate 100 by using methods known in the art, for example, SMT or adhesion. That is, the semiconductor die 101 is mounted on the top surface 100a of the substrate 100 with a “face-up” configuration. According to an embodiment, the semiconductor die 101 may be electrically connected to the substrate 100 and the solder balls SB on the bottom surface 100b of the substrate 100 through the through silicon vias (TSVs) 110, which are mainly for power/ground connection of the semiconductor die 101 or HBM. According to an embodiment, for example, the TSVs 110 may be power or ground TSVs for transmitting power or ground signals.

According to an embodiment, the semiconductor package 1 further comprises a middle re-distribution layer (RDL) structure 200. According to an embodiment, the middle RDL structure 200 may comprise dielectric layers 210 and interconnect structures 220. The interconnect structures 220 may be electrically connected to a plurality of RDL pads 230. According to an embodiment, the top surface 101a of the semiconductor die 101 is electrically connected to the middle RDL structure 200 through connecting elements BP such as micro-bumps, metal pillars, or the like. According to an embodiment, the gap between the middle RDL structure 200 and the top surface 101a of the semiconductor die 101 may be filled with an underfill material UF such as an epoxy resin, but is not limited thereto. According to an embodiment, a plurality of through mold vias (TMVs) 122 may be disposed in the molding compound 120.

According to an embodiment, the top package 30 is directly stacked on the middle RDL structure 200. According to an embodiment, the top package 30 may comprise a memory component 300 such as a High-Bandwidth Memory (HBM) including multiple DRAM dies 301 stacked on one another and the stacked DRAM dies 301 are vertically interconnected by through silicon vias (TSVs) 310 and microbumps BT. According to an embodiment, the memory component 300 may further comprise a DRAM base 302 which can include buffer circuitry and test logic. In some embodiments, the DRAM base 302 may include a DRAM controller. According to an embodiment, the top package 30 may further comprise a molding compound 320 encapsulating the memory component 300. According to an embodiment, the sidewall 30s of the top package 30 is vertically flush with the sidewall 20s of the bottom package 10.

According to an embodiment, the memory component 300 may be aligned with the underlying semiconductor die 101 and disposed at or near the center of the semiconductor die 101 when viewed from above. According to an embodiment, the center of the memory component 300 may be aligned with the center of the semiconductor die 101. When viewed from above, the memory component 300 completely overlaps with the underlying semiconductor die 101.

According to an embodiment, the DRAM base 302 is electrically coupled to the middle RDL structure 200. According to an embodiment, TSVs 110 of the semiconductor die 101 are provided for power or ground connection to the memory component 300. Power or ground signals may be transmitted to the solder balls SB on the bottom surface 100b of the substrate 100 via the shorter conductive path comprised of the interconnect structures 220 of the middle RDL structure 200, the connecting elements BP, the TSVs 110 of the semiconductor die 101, and the conductive traces 100t of the substrate 100.

Signal connection between the memory component 300 and the semiconductor die 101 may be implemented through the middle RDL structure 200. More specifically, signals such as control signals or data signals may be transmitted between the memory component 300 and the semiconductor die 101 through the interconnect structures 220 of the middle RDL structure 200 and the connecting elements BP.

Optionally, some of the power or ground signals for the memory component 300 may be transmitted to the solder balls SB on the bottom surface 100b of the substrate 100 via the connecting elements BP, the interconnect structures 220 of the middle RDL structure 200, the TMVs 122, and the conductive traces 100t of the substrate 100 to reduce the cost of TSV or power via as well as the die area.

According to an embodiment, through vias 110v of the semiconductor die 101 are provided for power or ground connection to the circuit devices fabricated in the semiconductor die 101. According to an embodiment, signals transmitted to or from the semiconductor die 101 may be implemented through the connection path comprised of the connecting elements BP, the interconnect structures 220 of the middle RDL structure 200, the TMVs 122, the conductive traces 100t of the substrate 100, and the solder balls SB on the bottom surface of the 100b of the substrate 100.

FIG. 2 is a schematic, cross-sectional diagram showing a variant semiconductor package with a TSV die and a dummy die in accordance with another embodiment of the disclosure, wherein like regions, layers or elements are designated by like numeral numbers or labels. As shown in FIG. 2, likewise, the semiconductor package 2 comprises a bottom package 10 and a top package 30 stacked on the bottom package 10. In some embodiments, for example, the bottom package 10 may comprise a substrate 100 and a semiconductor die 101, such as a logic die, mounted on a top surface 100a of the substrate 100. The semiconductor die (TSV die) 101 and the top surface 100a of the substrate 100 may be encapsulated by a molding compound 120.

According to an embodiment, for example, the semiconductor die 101a may be a central processing unit (CPU), a graphics processing unit (GPU), a system-on-a-chip (SOC), a field-programmable gate array (FPGA), a microcontroller unit (MCU), a power management integrated circuit (PMIC) die, a radio frequency (RF) die, a sensor die, a micro-electro-mechanical-system (MEMS) die, or a signal processing die (e.g., digital signal processing (DSP) die), but is not limited thereto. In some embodiments, the bottom package 10 may include one or more logic dies.

According to an embodiment, the top package 30 is directly stacked on the middle RDL structure 200. According to an embodiment, likewise, the top package 30 may comprise a memory component 300 such as a High-Bandwidth Memory (HBM) including multiple DRAM dies 301 stacked on one another and the stacked DRAM dies 301 are vertically interconnected by through silicon vias (TSVs) 310 and microbumps BT. According to an embodiment, the memory component 300 may further comprise a DRAM base 302 which can include buffer circuitry and test logic. In some embodiments, the DRAM base 302 may include a DRAM controller. According to an embodiment, the top package 30 may further comprise a molding compound 320 encapsulating the memory component 300. According to an embodiment, the sidewall 30s of the top package 30 is vertically flush with the sidewalls 20s of the bottom package 10.

According to an embodiment, the memory component 300 is offset from the center of the underlying semiconductor die 101, According to an embodiment, the center of the memory component 300 is not aligned with and is deviated from the center of the semiconductor die 101. When viewed from above, the memory component 300 may partially overlaps with the underlying semiconductor die 101.

According to an embodiment, the DRAM base 302 is electrically coupled to the middle RDL structure 200 through the connecting elements 360 such as conductive bumps or micro-bumps. An off-centered bump or pad design is implemented. According to an embodiment, the connecting elements 360 are not arranged about a center of the semiconductor die 101. According to an embodiment, all of the connecting elements 360 may be arranged adjacent to an edge of the semiconductor die 101. According to an embodiment, some outer rows of the connecting elements 360, for example, the connecting elements 360e may be disposed beyond an edge of the semiconductor die 101.

According to an embodiment, TSVs 110 of the semiconductor die 101 are provided for power or ground connection to the memory component 300. Power or ground signals may be transmitted to the solder balls SB on the bottom surface 100b of the substrate 100 via the shorter conductive path comprised of the interconnect structures 220 of the middle RDL structure 200, the connecting elements BP, the TSVs 110 of the semiconductor die 101, and the conductive traces 100t of the substrate 100.

Signal connection between the memory component 300 and the semiconductor die 101 may be implemented through the middle RDL structure 200. More specifically, signals such as control signals or data signals may be transmitted between the memory component 300 and the semiconductor die 101 through the interconnect structures 220 of the middle RDL structure 200 and the connecting elements BP.

Optionally, some of the power or ground signals for the memory component 300 may be transmitted to the solder balls SB on the bottom surface 100b of the substrate 100 via the connecting elements BP, the interconnect structures 220 of the middle RDL structure 200, the TMVs 122, and the conductive traces 100t of the substrate 100 to reduce the cost of TSV or power via as well as the die area.

According to an embodiment, through vias 110v of the semiconductor die 101 are provided for power or ground connection to the circuit devices fabricated in the semiconductor die 101. According to an embodiment, signals transmitted to or from the semiconductor die 101 may be implemented through the connection path comprised of the connecting elements BP, the interconnect structures 220 of the middle RDL structure 200, the TMVs 122, the conductive traces 100t of the substrate 100, and the solder balls SB on the bottom surface of the 100b of the substrate 100.

According to an embodiment, the top package 30 may further comprise at least one dummy die 400, such as a dummy silicon die. The memory component 300 and the dummy die 400 are mounted on the middle RDL structure 200 in a side-by-side manner. When viewed from above, the dummy die 400 may partially overlaps with the underlying semiconductor die 101. The off-center configuration of the memory component 300 relative to the underlying semiconductor die 101 allows the placement of the dummy die 400 directly on the semiconductor die 101, which improves the thermal performance of the semiconductor package 2.

FIG. 3 is a schematic, cross-sectional diagram showing an exemplary semiconductor package with a TSV die in accordance with still another embodiment of the disclosure, wherein like regions, layers or elements are designated by like numeral numbers or labels. In some embodiments, the semiconductor package 3 may serve as a 3D semiconductor package assembly including at least two vertically stacked semiconductor dies or chip packages. As shown in FIG. 3, the semiconductor package 3 comprises a substrate 100 and a semiconductor die 101, such as a logic die, mounted on a top surface (die-attach surface) 100a of the substrate 100 in a flip-chip manner. According to an embodiment, the semiconductor die 101 has a top surface (or active surface) 101a and a passive rear surface 101b. The circuit elements such as transistors are fabricated on or near the top surface 101a of the semiconductor die 101.

According to an embodiment, for example, the substrate 100 may be a RDL substrate that has a thinner thickness. It is to be understood that the substrate 100 may be a single layer or a multi-layer structure. According to an embodiment, for example, the substrate 100 may comprise a plurality of conductive traces 100t interconnecting the active surface 101a of the semiconductor die 101 with the ball pads 100s distributed on or near the bottom surface 100b of the substrate 100. The solder balls SB are disposed on the ball pads 100s, respectively. In some embodiments, at least one passive device PE may be mounted on the bottom surface 100b of the substrate 100 using surface mount technique.

According to an embodiment, the semiconductor die 101 is mounted on the top surface 100a of the substrate 100 with a “face-down” configuration with its top surface (or active surface) 101a directly facing the substrate 100. According to an embodiment, likewise, the semiconductor die 101 may be electrically connected to the substrate 100 and the solder balls SB on the bottom surface 100b of the substrate 100 through the through silicon vias (TSVs) 110, which are mainly for power/ground connection of the semiconductor die 101 or HBM. According to an embodiment, for example, the TSVs 110 may be power or ground TSVs for transmitting power or ground signals.

According to an embodiment, a memory component 300 such as HBM is directly mounted on the semiconductor die 101. According to an embodiment, the memory component 300 may be electrically connected to the semiconductor die 101 through the connecting elements BM such as micro-bumps or metal pillars. According to an embodiment, the memory component 300 may comprise multiple DRAM dies 301 stacked on one another. The stacked DRAM dies 301 are vertically interconnected by through silicon vias (TSVs) 310 and micro-bumps BT. According to an embodiment, the memory component 300 may further comprise a DRAM base 302 which can include buffer circuitry and test logic. In some embodiments, the DRAM base 302 may include a DRAM controller. According to an embodiment, the semiconductor die 101 and the memory component 300 may be packaged in one unified molding compound 320. In some embodiments, the semiconductor package 3 may be mounted on a printed circuit board (not shown in this figure) or any carrier substrate known in the art.

According to an embodiment, the memory component 300 may be offset from the center of the underlying semiconductor die 101, That is, the center of the memory component 300 is not aligned with and is deviated from the center of the semiconductor die 101. According to an embodiment, signals such as power or ground may be transmitted to the solder balls SB on the bottom surface 100b of the substrate 100 via the shorter conductive path comprised of the connecting elements BM, the TSVs 110 of the semiconductor die 101, and the conductive traces 100t of the substrate 100. Optionally, the DRAM base 302 may be electrically connected to a middle RDL structure between the semiconductor die 101 and the memory component 300 as described in FIG. 1.

FIG. 4 is a schematic, cross-sectional diagram showing an exemplary semiconductor package with a TSV die and a dummy die in accordance with still another embodiment of the disclosure, wherein like regions, layers or elements are designated by like numeral numbers or labels. In some embodiments, the semiconductor package 4 may serve as a 3D semiconductor package assembly including at least two vertically stacked semiconductor dies or chip packages. As shown in FIG. 4, likewise, the semiconductor package 4 comprises a substrate 100 and a semiconductor die 101, such as a logic die, mounted on a top surface (die-attach surface) 100a of the substrate 100 in a flip-chip manner. According to an embodiment, the semiconductor die 101 has a top surface (or active surface) 101a and a passive rear surface 101b. The circuit elements such as transistors are fabricated on or near the top surface 101a of the semiconductor die 101.

According to an embodiment, for example, the substrate 100 may be a RDL substrate that has a thinner thickness. It is to be understood that the substrate 100 may be a single layer or a multi-layer structure. According to an embodiment, for example, the substrate 100 may comprise a plurality of conductive traces 100t interconnecting the active surface 101a of the semiconductor die 101 with the ball pads 100s distributed on or near the bottom surface 100b of the substrate 100. The solder balls SB are disposed on the ball pads 100s, respectively. In some embodiments, at least one passive device PE may be mounted on the bottom surface 100b of the substrate 100 using surface mount technique.

According to an embodiment, the semiconductor die 101 is mounted on the top surface 100a of the substrate 100 with a “face-down” configuration with its top surface (or active surface) 101a directly facing the substrate 100. According to an embodiment, likewise, the semiconductor die 101 may be electrically connected to the substrate 100 and the solder balls SB on the bottom surface 100b of the substrate 100 through the through silicon vias (TSVs) 110, which are mainly for power/ground connection of the semiconductor die 101 or HBM. According to an embodiment, for example, the TSVs 110 may be power or ground TSVs for transmitting power or ground signals.

According to an embodiment, the memory component 300 such as HBM is directly mounted on the semiconductor die 101. According to an embodiment, the memory component 300 may be electrically connected to the semiconductor die 101 through the connecting elements BM such as micro-bumps or metal pillars. According to an embodiment, the memory component 300 may comprise multiple DRAM dies 301 stacked on one another. The stacked DRAM dies 301 are vertically interconnected by through silicon vias (TSVs) 310 and micro-bumps BT. According to an embodiment, the memory component 300 may further comprise a DRAM base 302 which can include buffer circuitry and test logic. In some embodiments, the DRAM base 302 may include a DRAM controller.

According to an embodiment, the memory component 300 may be offset from the center of the underlying semiconductor die 101, That is, the center of the memory component 300 is not aligned with and is deviated from the center of the semiconductor die 101. When viewed from above, the memory component 300 may only partially overlaps with the underlying semiconductor die 101. An off-centered bump or pad design is implemented. According to an embodiment, the connecting elements BM are not arranged about a center of the semiconductor die 101. According to an embodiment, all of the connecting elements BM may be arranged adjacent to an edge of the semiconductor die 101. As shown in FIG. 4, the memory component 300 may protrude beyond a sidewall of the semiconductor die 101. According to an embodiment, signals such as power or ground may be transmitted to the solder balls SB on the bottom surface 100b of the substrate 100 via the shorter conductive path comprised of the connecting elements BM, the TSVs 110 of the semiconductor die 101, and the conductive traces 100t of the substrate 100. Optionally, the DRAM base 302 may be electrically connected to a middle RDL structure between the semiconductor die 101 and the memory component 300 as described in FIG. 1.

According to an embodiment, at least one dummy die 400 such as a dummy silicon die is disposed on the semiconductor die 101. According to an embodiment, for example, the dummy die 400 may be mounted to the semiconductor die 101 by using an adhesive layer 410, but is not limited thereto. The memory component 300 and the dummy die 400 are mounted on the semiconductor die 101 in a side-by-side manner. When viewed from above, the dummy die 400 may partially overlaps with the underlying semiconductor die 101. The off-center configuration of the memory component 300 relative to the underlying semiconductor die 101 allows the placement of the dummy die 400 directly on the semiconductor die 101, which improves the thermal performance of the semiconductor package 2. According to an embodiment, the semiconductor die 101, the memory component 300, and the dummy die 400 may be packaged in one unified molding compound 320. In some embodiments, the semiconductor package 4 may be mounted on a printed circuit board (not shown in this figure) or any carrier substrate known in the art.

Those skilled in the art will readily observe that numerous modifications and alterations of the device and method may be made while retaining the teachings of the invention. Accordingly, the above disclosure should be construed as limited only by the metes and bounds of the appended claims.

Claims

1. A semiconductor package, comprising:

a bottom package comprising a substrate and a semiconductor die mounted on a top surface of the substrate, wherein the semiconductor die has an active surface and a rear surface coupled to the top surface of the substrate, wherein the semiconductor die comprises through silicon vias, and wherein the semiconductor die and the top surface of the substrate are encapsulated by a first molding compound;
a top package stacked on the bottom package, wherein the top package comprises a memory component; and
a middle re-distribution layer (RDL) structure disposed between the top package and the bottom package, wherein the active surface of the semiconductor die is directly connected to the middle RDL structure through connecting elements, wherein the middle RDL structure comprises dielectric layers and interconnect structures, wherein the memory component is electrically connected to the substrate via the interconnect structures of the middle RDL structure and the through silicon vias of the semiconductor die.

2. The semiconductor package according to claim 1, wherein the semiconductor die comprises a central processing unit (CPU), a graphics processing unit (GPU), a system-on-a-chip (SOC), a field-programmable gate array (FPGA), a microcontroller unit (MCU), a power management integrated circuit (PMIC) die, a radio frequency (RF) die, a sensor die, a micro-electro-mechanical-system (MEMS) die, or a signal processing die.

3. The semiconductor package according to claim 1, wherein the substrate is a re-distribution layer (RDL) substrate.

4. The semiconductor package according to claim 1, wherein the substrate comprises a plurality of connection pads disposed on or near the top surface of the substrate, a plurality of conductive traces interconnecting the plurality of connection pads with a plurality of ball pads distributed on or near a bottom surface of the substrate.

5. The semiconductor package according to claim 4, wherein a plurality of solder balls is disposed on the plurality of ball pads, respectively.

6. The semiconductor package according to claim 5, wherein power or ground to the memory component is provided through the through silicon vias.

7. The semiconductor package according to claim 1, wherein the connecting elements comprises micro-bumps.

8. The semiconductor package according to claim 1, wherein a gap between the middle RDL structure and the active surface of the semiconductor die is filled with an underfill material.

9. The semiconductor package according to claim 1, wherein a plurality of through mold vias is disposed in the first molding compound.

10. The semiconductor package according to claim 5, wherein the memory component is a High-Bandwidth Memory (HBM) including multiple DRAM dies stacked on one another, wherein the stacked DRAM dies are vertically interconnected.

11. The semiconductor package according to claim 10, wherein the memory component further comprises a DRAM base.

12. The semiconductor package according to claim 11, wherein the DRAM base is electrically coupled to the middle RDL structure, wherein power or ground signals are transmitted to the solder balls on the bottom surface of the substrate via a conductive path comprised of the interconnect structures of the middle RDL structure, the connecting elements, the through silicon vias of the semiconductor die, and the conductive traces of the substrate.

13. The semiconductor package according to claim 10 further comprising:

a second molding compound encapsulating the memory component.

14. The semiconductor package according to claim 1, wherein the memory component is aligned with the semiconductor die and disposed at or near the center of the semiconductor die when viewed from above.

15. The semiconductor package according to claim 1, wherein a sidewall of the top package is vertically flush with a sidewall of the bottom package.

16. The semiconductor package according to claim 1, wherein the top package further comprises a dummy die.

17. A semiconductor package, comprising:

a substrate;
a semiconductor die mounted on a top surface of the substrate in a flip-chip manner, wherein the semiconductor die has an active surface and a passive rear surface, wherein the semiconductor die comprises through silicon vias; and
a memory component directly mounted on the semiconductor die, wherein the memory component is electrically connected to the semiconductor die through connecting elements, and wherein the semiconductor die and the memory component are packaged in a unified molding compound.

18. The semiconductor package according to claim 17, wherein the substrate comprises a plurality of conductive traces interconnecting the active surface of the semiconductor die with ball pads distributed on or near a bottom surface of the substrate.

19. The semiconductor package according to claim 18, wherein solder balls are disposed on the ball pads, respectively.

20. The semiconductor package according to claim 19, wherein power or ground signals are transmitted to the solder balls on the bottom surface of the substrate via a conductive path comprised of the connecting elements, the through silicon vias of the semiconductor die, and the conductive traces of the substrate.

21. The semiconductor package according to claim 17, wherein the memory component is a High-Bandwidth Memory (HBM) including multiple DRAM dies stacked on one another, wherein the stacked DRAM dies are vertically interconnected by through silicon vias and microbumps.

22. The semiconductor package according to claim 21, wherein the memory component further comprises a DRAM base.

23. The semiconductor package according to claim 17, wherein the memory component is offset from a center of the semiconductor die.

24. The semiconductor package according to claim 17 further comprising:

a dummy die disposed on the semiconductor die, which is packaged in the unified molding compound.
Patent History
Publication number: 20230116326
Type: Application
Filed: Sep 6, 2022
Publication Date: Apr 13, 2023
Applicant: MEDIATEK INC. (Hsin-Chu)
Inventors: Ya-Lun Yang (Hsinchu City), Wen-Chou Wu (Hsinchu City), Che-Hung Kuo (Hsinchu City)
Application Number: 17/938,911
Classifications
International Classification: H01L 23/48 (20060101); H01L 25/16 (20060101); H01L 23/00 (20060101); H01L 23/498 (20060101);