Patents Issued in March 6, 2001
-
Patent number: 6197649Abstract: A fast recovery diode (FRED) is fabricated by a process using a reduced number of masking steps. The FRED is a vertical conduction device in which P type anode regions are isolated using either LOCOS oxidation or deposited low temperature oxide. The first masking step defines the anode and isolation regions, and a second masking step defines the aluminum contact layer. For devices having a breakdown voltage greater than 800 volts, a third masking step is included which defines the passivated area.Type: GrantFiled: August 5, 1998Date of Patent: March 6, 2001Assignee: International Rectifier Corp.Inventors: Richard Francis, Chiu Ng
-
Patent number: 6197650Abstract: A method for forming capacitor is proposed. The key point of the invention is that bottom plate and dielectric layer of capacitor are formed before metal interconnect is formed. Thus, thermal treatment of dielectric layer does not affect metal interconnect. Therefore, conventional fault that quality of dielectric layer is degraded by scant annealing is avoided, and then dielectric layer and metal interconnect can be optimized respectively. Obviously, the ultimate advantage of the proposed method is that not only breakdown voltage of dielectric layer is increased by annealing but also quality of metal interconnect is not affected by annealing. Therefore, an incidental advantage of the proposed method is that the method is beneficial to form both capacitor and metal interconnect.Type: GrantFiled: May 15, 1999Date of Patent: March 6, 2001Assignee: United Microelectronics Corp.Inventor: Kun-Lin Wu
-
Patent number: 6197651Abstract: A method and structure for forming a capacitor in a semiconductor device using a high dielectric constant, yttrium barium copper oxide layer as the capacitor dielectric layer. The process begins by providing a semiconductor structure having a conductive plug therein and having an opening, with sidewalls, over the conductive plug. The opening is shaped to accomodate a capacitor structure as is known in the art. A first conductive layer is formed on the conductive plug and on the sidewalls of the opening. A yttrium barium copper oxide layer is deposited on the first conductive layer using a sputtering process with a YBa2Cu3O7 target. The yttrium barium copper oxide layer can be annealed to control the oxygen content. For example, YBa2Cu3O6+X can be controlled at between X=0.2 and X=0.5.Type: GrantFiled: August 30, 1999Date of Patent: March 6, 2001Assignee: Taiwan Semiconductor Manufacturing CompanyInventor: Pao-Chuan Shan
-
Patent number: 6197652Abstract: A method of fabricating a twin-tub capacitor is described in which a dielectric layer is defined to form multiple column structures, followed by forming a conductive layer over the column structures. The conductive layer on the top surface of the column structures are removed by chemical mechanical polishing to isolate each capacitor. The column structures are further removed to form a twin-tub capacitor.Type: GrantFiled: June 4, 1999Date of Patent: March 6, 2001Assignee: Worldwide Semiconductor Manufacturing Corp.Inventors: Dahcheng Lin, Chih-Hsing Yu
-
Patent number: 6197653Abstract: A rugged polysilicon electrode for a capacitor has high surface area enhancement with a thin layer by high nucleation density plus gas phase doping which also enhances grain shape and oxygen-free dielectric formation.Type: GrantFiled: March 27, 1998Date of Patent: March 6, 2001Assignee: Texas Instruments IncorporatedInventors: Rajesh B. Khamankar, Darius L. Crenshaw, Rick L. Wise, Katherine Violette, Aditi D. Banerjee
-
Patent number: 6197654Abstract: A method of anodizing a lightly doped wafer wherein there is provided a lightly p-typed doped silicon wafer having a frontside and a backside. A p-type region is formed on the backside doped sufficiently to avoid inversion to n-type when a later applied current density of predetermined maximum value is applied to the backside. The wafer is placed in the electrolyte of a chamber having an electrolyte and having a pair of electrodes, preferably platinum, on opposite sides of the wafer and in the electrolyte. The current of predetermined value is passed between the electrodes and through the wafer, the current being sufficient to cause pores to form on the frontside of the wafer. The chamber preferably has first and second regions, one of the electrodes being disposed in one of the regions and the other electrode being disposed in the other regions with the wafer hermetically sealing the first region from the second region.Type: GrantFiled: August 21, 1998Date of Patent: March 6, 2001Assignee: Texas Instruments IncorporatedInventor: Leland S. Swanson
-
Patent number: 6197655Abstract: The method is based on the use of a silicon carbide mask for removing a sacrificial region. In case of manufacture of integrated semiconductor material structures, the following steps are performed: forming a sacrificial region of silicon oxide on a substrate of semiconductor material; growing a pseudo-epitaxial layer; forming electronic circuit components; depositing a silicon carbide layer; defining photolithographically the silicon carbon layer so as to form an etching mask containing the topography of a microstructure to be formed; with the etching mask, forming trenches in the pseudo-epitaxial layer as far as the sacrificial region so as to laterally define the microstructure; and removing the sacrificial region through the trenches.Type: GrantFiled: July 10, 1998Date of Patent: March 6, 2001Assignee: STMicroelectronics S.r.l.Inventors: Pietro Montanini, Marco Ferrera, Laura Castoldi, Ilaria Gelmi
-
Patent number: 6197656Abstract: Oxygen implantation can be used to form a buried oxide layer in a substrate. A dielectric masking material is used to shape the buried oxide layer by changing the depth at which ions can implant based on the shape of the dielectric masking layer.Type: GrantFiled: March 24, 1998Date of Patent: March 6, 2001Assignee: International Business Machines CorporationInventors: James W. Adkisson, Jerome B. Lasky, Paul W. Pastel, Jed H. Rankin
-
Patent number: 6197657Abstract: A manufacturing method for a semiconductor device in which, when a silicon oxide film on a semiconductor substrate is wet-etched for trench device isolation, no divot is formed at a device isolation end due to etching of a first insulating film of a trench isolation region to improve yield as well as reliability and productivity. A second insulating film (7 of FIG. 2) is formed and etched to leave a second insulating film 7a selectively in the rim of a isolation region where a divot is likely to be formed to prevent the divot from being formed by wet etching.Type: GrantFiled: June 11, 1998Date of Patent: March 6, 2001Assignee: NEC CorporationInventor: Takeo Tsukamoto
-
Patent number: 6197658Abstract: A method for filling a trench within a silicon substrate. There is first provided a silicon substrate having a trench formed therein. There is then formed upon the substrate and within the trench a gap filling silicon oxide trench fill layer employing an ozone assisted thermal chemical vapor deposition (SACVD) method. There is then carried out a densification of the gap filling silicon oxide trench fill layer by annealing in an oxidizing atmosphere at an elevated temperature. Finally, the gap filling silicon oxide trench fill layer is planarized by chemical mechanical polish (CMP) planarization to form the silicon oxide trench filling layer with attenuated surface sensitivity and with an enhanced bulk quality and reduced trench recess at corners.Type: GrantFiled: October 30, 1998Date of Patent: March 6, 2001Assignee: Taiwan Semiconductor Manufacturing CompanyInventor: Syun-Ming Jang
-
Patent number: 6197659Abstract: An improved process of fabricating a shallow trench isolation structure is provided. A semiconductor substrate is provided and an insulating layer is formed over the substrate. A nitride masking layer is formed over the insulating layer. The nitride masking layer and the insulating layer are patterned and etched to expose a portion of the substrate, and to expose edges of the nitride masking layer and the insulating layer. The exposed portion of the substrate substantially defines boundaries of the isolation structure. A first oxide layer is deposited superjacent the exposed portion of the substrate, and over the nitride masking layer. A removing step includes removing portions of the first oxide layer lying over the nitride masking layer, a central portion of the first oxide layer superjacent the substrate, and a portion of the substrate to form a trench, leaving an oxide spacer disposed between the exposed edges of the nitride masking layer and the insulating layer, and the edge of the trench.Type: GrantFiled: November 19, 1999Date of Patent: March 6, 2001Assignee: Mosel Vitelic, Inc.Inventor: Jacsou Liu
-
Patent number: 6197660Abstract: Shallow trench isolation in which trenches having varying dimensions have been formed in a hard surface such as silicon nitride can lead to dishing inside the larger trenches. To overcome this, the trenches were first over-filled with a layer of HDPCVD oxide followed by the deposition of a relatively soft dielectric layer, using a conformal deposition method. CMP was then used to remove both the added layer and most of the original HDPCVD oxide, a small thickness of the latter being left in place. Because of the earlier influence of the added layer the resulting surface was planar and a conventional wet or dry etch could be used to remove the remaining oxide, thereby exposing the top surface and fully filling the trenches without any dishing.Type: GrantFiled: April 29, 1999Date of Patent: March 6, 2001Assignee: Taiwan Semiconductor Manufacturing CompanyInventors: Syun-Ming Jang, Ying-Ho Chen
-
Patent number: 6197661Abstract: A semiconductor device with the trench isolation structure is provided, in which the leakage current problem does not occur. This device is comprised ofa semiconductor substrate, an isolation trench formed in a surface region of the substrate and filled with first and second isolation dielectrics, an interlayer dielectric layer formed on the surface region of the substrate to cover the isolation trench, and a conductive layer formed on the interlayer dielectric layer to be overlapped with the isolation trench. The interlayer dielectric layer has a contact hole located near the isolation trench. The contact hole is formed by etching. The conductive layer is contacted with and electrically connected to a region of the substrate through the contact hole of the interlayer dielectric layer. The first isolation dielectric serves as a primary insulator. The second isolation dielectric serves as a secondary insulator.Type: GrantFiled: April 28, 1999Date of Patent: March 6, 2001Assignee: NEC CorporationInventors: Toru Mogami, Takashi Ogura
-
Patent number: 6197662Abstract: A semiconductor processing method of forming field isolation oxide relative to a silicon substrate includes, i) rapid thermal nitridizing an exposed silicon substrate surface to form a base silicon nitride layer on the silicon substrate; ii) providing a silicon nitride masking layer over the nitride base layer, the base and masking silicon nitride layers comprising a composite of said layers of a combined thickness effective to restrict appreciable oxidation of silicon substrate thereunder when the substrate is exposed to LOCOS conditions; and iii) exposing the substrate to oxidizing conditions effective to form field isolation oxide on substrate areas not masked by the base and masking silicon nitride layers composite.Type: GrantFiled: April 9, 1999Date of Patent: March 6, 2001Assignee: Micron Technology, Inc.Inventor: Hiang C. Chan
-
Patent number: 6197663Abstract: A process for fabricating an integrated circuit device is disclosed. The integrated circuit has a plurality of TFTs and an electrical interconnect structure. In the process, at least some constituents of the TFTs are formed on a first substrate. At least the interconnect structure is formed on a second substrate. The two substrates are laminated together to form the integrated circuit device having fully formed TFTs.Type: GrantFiled: December 7, 1999Date of Patent: March 6, 2001Assignee: Lucent Technologies Inc.Inventors: Edwin Arthur Chandross, Ananth Dodabalapur, Howard Edan Katz, Venkataram Reddy Raju
-
Patent number: 6197664Abstract: A method for plating conductive material in through apertures and blind apertures of a substrate which has a conductive material on its upper and lower surfaces. In a typical configuration for plating a via, there is a first region of conductive material adjacent to, but outside of, the aperture which forms the via and a second region of conductive material inside of the aperture. The second conductive region is selected to be the cathode of the plating process. The structure is placed in a plating bath, a first potential is applied to the first region of conductive material, and a second potential is applied to the second region of conductive material, with the second potential being different from the first potential. Under these conditions, material will plate onto the second region of conductive material to fill the aperture.Type: GrantFiled: January 12, 1999Date of Patent: March 6, 2001Assignee: Fujitsu LimitedInventors: Michael G. Lee, Michael G. Peters, William T. Chou
-
Patent number: 6197665Abstract: A lamination machine includes means for using gas pressure to bring a coverlay and a microelectronic package into intimate contact and means for heating a coverlay adhesive in order to seal the coverlay to the package. A method of laminating a coverlay to a microelectronic package using the lamination machine includes using gas pressure to bring a coverlay into intimate contact with a microelectronic package. The method also includes heating an adhesive on the coverlay in order to adhere the coverlay to the package. Once the coverlay is laminated to the package, the package can be encapsulated with a curable encapsulant composition. The method may also include decreasing the pressure in the chamber disposed above the package to reduce voids and bubble and/or regulating the pressure in a bladder disposed in a chamber below the package.Type: GrantFiled: April 15, 1999Date of Patent: March 6, 2001Assignee: Tessera, Inc.Inventors: Thomas H. DiStefano, Craig S. Mitchell, Tan Nguyen
-
Patent number: 6197666Abstract: A method for the fabrication of a doped silicon layer, includes carrying out deposition by using a process gas containing SiH4, Si2H6 and a doping gas. The doped silicon layer which is thus produced can be used both as a gate electrode of an MOS transistor and as a conductive connection. At a thickness between 50 and 200 nm it has a resistivity less than or equal to 0.5 m&OHgr;cm.Type: GrantFiled: September 3, 1999Date of Patent: March 6, 2001Assignee: Siemens AktiengesellschaftInventors: Herbert Schafer, Martin Franosch, Reinhard Stengl, Hans Reisinger, Matthias Ilg
-
Patent number: 6197667Abstract: Group III-V composites, which is used to manufacture Schottky contacts having the characteristics of higher energy gap, higher carriers mobility, etc., are applied for manufacturing high-speed devices. Therefore, in there years, Group III-V composite Schottky contacts are continuously being developed. In the invention, the surface treatment of composite semiconductor is used for reduce a surface state and oxidation, thereby increased the Schottky barriers of the Group III-V composite (such as, GaAs, fnP, InAs and InSb) Schottky contacts. During experiments. a phosphorus sulphide/ammonia sulphide solution and hydrogen fluoride solution are used for the surface treatment to increase the amount of sulphur contained on the surfaces of substrates, reduce the surface state and remove various oxides. Furthermore. ultra-thin and really stable sulphur fluoride/phosphorus fluoride layers having high energy gaps are formed on various substrates.Type: GrantFiled: February 3, 1999Date of Patent: March 6, 2001Assignee: National Science CouncilInventors: Liann-Be Chang, Hung-Tsung Wang
-
Patent number: 6197668Abstract: In insulated-gate, field effect transistor (IGFET) devices fabricated in integrated circuits, the scaling down of the dimensions of the devices has resulted in structures with dimensions are so small that reproducibility of parameters can become problematic. Specifically, the gate dielectric, typically silicon nitride, silicon oxide or silicon nitride, of a gate structure is nearing the point where the required thickness of the gate dielectric to provide the selected electric field in the channel region is implemented with a few to several atomic layers. In order to improve parameter reproducibility, a dielectric material, such TaO5 or a ferroelectric material, is used as a gate dielectric. TaO5 and the ferroelectric materials have a dielectric constant an order of magnitude higher than the material typically used in the past. Using these materials, the gate dielectric can be proportionately thicker, thereby improving the parameter reproducibility.Type: GrantFiled: November 6, 1998Date of Patent: March 6, 2001Assignee: Advanced Micro Devices, Inc.Inventors: Mark I. Gardner, Mark C. Gilmer
-
Patent number: 6197669Abstract: A method is provided for depositing an amorphous silicon thin film on a substrate. The method is carried out in a reactor chamber and can be a LPCVD, PECVD or RTCVD process. The method comprises introducing a gas species into the reactor chamber for a time sufficient to dehydrate the substrate and to form a thin layer of silicon on the substrate. Following formation of the thin layer of silicon, a dopant gas is introduced into the reactor chamber to form the doped silicon thin film. The temperature and pressure within the chamber is set to minimize formation of surface irregularities or pits within the thin amorphous silicon layer.Type: GrantFiled: April 15, 1999Date of Patent: March 6, 2001Assignee: Taiwan Semicondcutor Manufacturing CompanyInventors: Jih-Churng Twu, Syun-Ming Jang, Chen-Hua Yu
-
Patent number: 6197670Abstract: A method for forming a self-aligned contact includes forming a second insulating layer, on a first insulating layer including a first self-aligned contact pad formed on a semiconductor substrate, forming a conductive architecture on the second insulating layer, and forming a second self-aligned contact pad on both sides of the conductive architecture. The conductive architecture is covered with a material layer having an etch selectivity with respect to the second insulating layer and the second self-aligned contact pad is electrically connected to the first self-aligned contact pad. Thus, a self-aligned contact pad is formed with two layers. Accordingly, the contact is self-aligned to a gate electrode and a bit line, thereby preventing shorts generated by misalignment. Further, the etching thickness is reduced while etching an oxide layer to form a storage node contact hole, thereby suppressing shorts and reducing the critical dimension of a storage node contact.Type: GrantFiled: July 30, 1999Date of Patent: March 6, 2001Assignee: Samsung Electronics Co., Ltd.Inventor: Byung-Jun Park
-
Patent number: 6197671Abstract: Disclosed is a MOS transistor having a polysilicon gate structure in which an overlying metal interconnect completely shorts the gate area. In one embodiment, the gate is formed from multiple fingers joined in a serpentine pattern and separated by oxide-filled spaces. Overlying the fingers and oxide-filled spaces is an interconnect comprising a first metal layer and a second metal layer. The first metal layer overlies the fingers and oxide-filled spaces and the second metal layer overlies the first metal layer. Both metal layers form a stack that simultaneously shorts the fingers. Also disclosed is a method of fabricating such a polysilicon gate structure in a MOS transistor using a series of masks. Once the gate and fingers are defined, a conformal oxide is deposited over the fingers and in the spaces between the fingers. The conformal oxide is anisotropically etched to produce a planarized profile of the fingers and oxide-filled spaces.Type: GrantFiled: August 12, 1998Date of Patent: March 6, 2001Assignee: National Semiconductor CorporationInventor: Albert Bergemont
-
Patent number: 6197672Abstract: A method for forming a dual polycide gate. A substrate that has an isolation structure is provided, a polysilicon layer (or an &agr;-Si layer) is deposited over the substrate, N-type and P-type dopants are implanted into the polysilicon layer to form a dual gate having an N-type gate and a P-type gate. An annealing step is performed to restore the surface crystal structure of the polysilicon layer, an oxide layer is deposited on the doped polysilicon layer, and a silicide layer is formed over the oxide layer. The silicide layer, the oxide layer and the polysilicon layer are defined to form a polycide gate, a lightly doped source/drain region is formed beside the gate in the substrate. A spacer is formed on the sidewall of the gate, and a heavily doped source/drain region is formed beside the spacer in the substrate.Type: GrantFiled: December 8, 1998Date of Patent: March 6, 2001Assignee: United Microelectronics Corp.Inventors: Yung-Chang Lin, Tung-Po Chen, Jacob Chen
-
Patent number: 6197673Abstract: A method for fabricating a passivation layer of a gate electrode. A conductive layer, a mask layer and a patterned photoresist layer are sequentially formed on a gate oxide layer. The photoresists layer is thick enough to precisely transfer a pattern from the photoresist layer to the mask layer. The photoresist layer is stripped, and an etching step is performed to transfer the patterned of the mask layer onto the conductive layer, so as to form a gate electrode. During the etching step, a corner of the mask layer is partly truncated to form a cap layer with an arc shape corner. A conformal liner oxide layer is formed on the cap layer and a sidewall of the gate electrode. A spacer is further formed on the conformal liner oxide layer extending over a top surface of the gate electrode.Type: GrantFiled: June 8, 1999Date of Patent: March 6, 2001Assignees: United Semiconductor Corp., United Microelectronics Corp.Inventor: Chia-Chieh Yu
-
Patent number: 6197674Abstract: A method of forming a CVD-Ti film includes the steps of loading a Si wafer into a chamber, setting an interior of the chamber at a predetermined reduced-pressure atmosphere, introducing TiCl4 gas, H2 gas, and Ar gas into the chamber, and generating a plasma of the introduced gas in the chamber to form a Ti film in a hole formed in an SiO2 film on the wafer. A wafer temperature is set to 400° to 800°, a supplied power is set to 100 W to 300 W, an internal chamber pressure is set to 0.5 Torr to 3.0 Torr, a flow rate ratio of TiCl4 gas to a sum of H2 gas and Ar gas is 1:100 to 1:300, and a flow rate ratio of H2 gas to Ar gas is 1:1 to 2:1.Type: GrantFiled: July 9, 1998Date of Patent: March 6, 2001Assignee: Tokyo Electron LimitedInventors: Hideki Yoshikawa, Yasuo Kobayashi, Kunihiro Tada
-
Patent number: 6197675Abstract: A semiconductor memory device comprises a semiconductor substrate, a first conducting layer formed above the main surface of the semiconductor substrate, a second conducting layer formed above the first conducting layer through a first insulating layer and connected to the first conducting layer through a first via-conductor formed in a first contact hole formed in the first insulating layer, and a third conducting layer formed beneath the second conducting layer through a second insulating layer and connected to the second conducting layer through a second via-conductor formed in a second contact hole formed in the second insulating layer, in which an angle formed by a tangent to an inner wall of the first contact hole and a normal to the first conducting layer at a portion of the first conducting layer at which the first contact hole is in contact with the first conducting layer, is larger than an angle formed by a tangent to an inner wall of the second contact hole and a normal to the third conducting layeType: GrantFiled: December 7, 1999Date of Patent: March 6, 2001Assignee: Kabushiki Kaisha ToshibaInventors: Yoshiaki Fukuzumi, Yusuke Kohyama
-
Patent number: 6197676Abstract: The invention provides a method of forming a metal line. A step is formed on a substrate. According to one method of the invention a metal layer is formed on the substrate and on the step. The metal layer is then etched. A portion of the metal layer remains adjacent the step and the substrate is exposed adjacent the portion of the metal layer.Type: GrantFiled: January 6, 1999Date of Patent: March 6, 2001Assignee: Intel CorporationInventors: Brian S. Doyle, Peng Cheng
-
Patent number: 6197677Abstract: The present invention provides a method of depositing a silicon oxide layer on a semiconductor wafer. The semiconductor wafer comprises a plurality of transistors positioned on its surface. The method comprises performing a cleaning process on the semiconductor wafer by using an alkaline solution to make a more uniform deposition rate of the silicon oxide layer on the transistors and other areas over the surface of the semiconductor wafer, then performing a deposition process by employing ozone as a reactive gas to form a silicon oxide layer of even thickness and without voids.Type: GrantFiled: November 1, 1999Date of Patent: March 6, 2001Assignee: United Microelectronics Corp.Inventors: Chin-Hui Lee, Ting-Chi Lin, Chih-Cheng Liu
-
Patent number: 6197678Abstract: A damascene process, applicable to a semiconductor substrate, with a patterned first mask layer formed thereon. A part of the substrate not covered by the first mask layer is exposed, while a first dielectric layer is formed on the exposed part of the substrate. The first mask is then removed to form a first opening in the first dielectric layer. A conformal barrier layer is formed on the substrate and the first dielectric layer, followed by filling the first opening with a metal plug. Alternatively, a dual damascene process is disclosed where a second patterned mask layer is formed in first opening and covers a part of the first dielectric layer, while a part of the first dielectric layer is exposed. A second dielectric layer is formed on the exposed part of the first dielectric layer. The second patterned mask layer is removed to form a second opening and to expose the first opening.Type: GrantFiled: February 1, 1999Date of Patent: March 6, 2001Assignee: United Semiconductor Corp.Inventor: Chia-Chieh Yu
-
Patent number: 6197679Abstract: The object of the present invention is to provide a method of manufacturing an improved semiconductor device in which overlay-accuracy can be enhanced even when a halftone mask is used. An oxide film is formed on an antireflection film. Resist films are selectively irradiated with light using a halftone phase shift mask. Subsequently, it is developed to form resist patterns for a connecting hole and an overlay mark. According to the, present invention, the provision of an antireflection film under an oxide film prevents formation of a ghost pattern in an overlay mark portion.Type: GrantFiled: March 23, 1999Date of Patent: March 6, 2001Assignee: Mitsubishi Denki Kabushiki KaishaInventor: Sachiko Hattori
-
Patent number: 6197680Abstract: An improved method of forming a conductive line on a semiconductor substrate is described. A conductive layer is formed on the substrate. A patterned photoresist layer is formed on the conductive layer. A first etching step is performed on the conductive layer to define the conductive layer and to form a conductive line. A second etching step is performed on the conductive line to undercut the conductive line so as to make the conductive line have smaller bottom and to increase a distance between neighboring conductive lines. A third etching step is performed to remove residue generated on the substrate during the first and the second etching steps. A dielectric layer is formed to cover the conductive line. A planarization process is performed.Type: GrantFiled: May 17, 1999Date of Patent: March 6, 2001Assignee: United Semiconductor Corp.Inventors: Jiunn-Hsien Lin, Wen-Pin Kuo
-
Patent number: 6197681Abstract: A method for forming the copper interconnects is disclosed. The method includes, firstly, providing a semiconductor substrate is provided. Then, a first dielectric layer is formed. Sequentially, a second dielectric layer is formed and an anti-reflective layer is formed. Then, a hardmask layer is formed. Etching of the hardmask layer is carried out. The photoresist layer is removed and another photoresist is replaced. The anti-reflective layer, the second dielectric layer and the first dielectric layer are all etched. The hardmask layer, the anti-reflective layer and the second dielectric layer are all etched. The photoresist layer, the hardmask layer and the anti-reflective layer are all removed. A first barrier layer is conformably formed on the sidewalls and the exposed surfaces of the second dielectric layer and the first dielectric layer, and on the surface of the first copper layer. A seed layer is conformably formed on the barrier layer.Type: GrantFiled: December 31, 1999Date of Patent: March 6, 2001Assignee: United Microelectronics Corp.Inventors: Chih-Chien Liu, Cheng-Yuan Tsai, Ming-Sheng Yang
-
Patent number: 6197682Abstract: The present invention relates to a multilayer wiring structure for a semiconductor device which can be designed without sacrificing either a micronization or electric properties, and a manufacturing method of the same. A first wiring layer and a third wiring layer are connected by a lower layer contact plug which fills a lower layer contact hole interposing a silicon nitride film spacer, and an upper layer contact plug which fills an upper layer contact hole interposing a silicon oxide film spacer. A second wiring layer divided into more than two portions by the upper layer contact hole near an upper end of the lower layer contact hole is connected by a ring-shaped conductive film spacer.Type: GrantFiled: March 10, 1999Date of Patent: March 6, 2001Assignee: NEC CorporationInventors: John Mark Drynan, Kuniaki Koyama
-
Patent number: 6197683Abstract: A method of forming a metal nitride film using chemical vapor deposition (CVD), and a method of forming a metal contact of a semiconductor device using the same, are provided. The method of forming a metal nitride film using chemical vapor deposition (CVD) in which a metal source and a nitrogen source are used as a precursor, includes the steps of inserting a semiconductor substrate into a deposition chamber, flowing the metal source into the deposition chamber, removing the metal source remaining in the deposition chamber by cutting off the inflow of the metal source and flowing a purge gas into the deposition chamber, cutting off the purge gas and flowing the nitrogen source into the deposition chamber to react with the metal source adsorbed on the semiconductor substrate, and removing the nitrogen source remaining in the deposition chamber by cutting off the inflow of the nitrogen source and flowing the purge gas into the deposition chamber.Type: GrantFiled: September 18, 1998Date of Patent: March 6, 2001Assignee: Samsung Electronics Co., Ltd.Inventors: Sang-bom Kang, Chang-soo Park, Yun-sook Chae, Sang-in Lee
-
Patent number: 6197684Abstract: A method for forming a metal/metal nitride layer. A dielectric layer is formed on a substrate comprising a conductive region. The dielectric layer comprises an opening exposing a portion of the conductive region. A conformal metal layer is formed on the dielectric layer by physical vapor deposition using a collimator to cover the exposed conductive region. A metal nitride layer is formed on the metal layer. A part of the metal layer may be exposed due to poor step coverage. An implanting process is performed on the metal nitride layer and on the exposed metal layer using a nitric gas.Type: GrantFiled: March 19, 1999Date of Patent: March 6, 2001Assignee: United Microelectronics Corp.Inventor: Chein-Cheng Wang
-
Patent number: 6197685Abstract: A multilayer wiring structure of a semiconductor device having a stacked structure is arranged to restrain reliability degradation due to stress applied to the region of wiring between opposite upper and lower plugs. The rate of overlap of contact surface between upper plug and wiring on contact surface between lower plug and wiring, is small to the extent that no void is generated. The multilayer wiring structure is produced such that no grain boundary is contained in the region of wiring between upper and lower plugs. The difference in thermal expansion coefficient between the material of wiring and the material of upper and lower plugs, is small to the extent that no void is generated.Type: GrantFiled: July 1, 1998Date of Patent: March 6, 2001Assignee: Matsushita Electronics CorporationInventors: Shinichi Domae, Hiroshi Masuda, Yoshiaki Kato, Kousaku Yano
-
Patent number: 6197686Abstract: A metallization method for filling an Al-based material in a contact hole having a barrier metal structure, in which high barrier characteristics and high step coverage may be achieved simultaneously, is proposed. The present invention is based on two concepts. The first concept is to provide a Ti/TiON/Ti three-layer barrier metal structure and to deposit a layer of the Al-based material by high temperature sputtering. Sufficient barrier characteristics may be provided by the intermediate TiON layer of the three-layer structure. The interface between the Al-based layer and the barrier metal layer is the Ti layer having excellent wetting characteristics with respect to the Al-based layer so that the contact hole can be filled uniformly without forming voids. The second concept is to set the substrate heating temperature during high temperature sputtering to 450 to 550° C. and to set the deposition rate to 0.6 &mgr;m/minute or less.Type: GrantFiled: June 28, 1993Date of Patent: March 6, 2001Assignee: Sony CorporationInventors: Mitsuru Taguchi, Kazuhide Koyama
-
Patent number: 6197687Abstract: High density, multi-metal layer semiconductor devices are formed with accurate and uniform polysilicon gates and underlying gate oxides. Embodiments include etching the photoresist mask to reduce the horizontal layer.Type: GrantFiled: September 13, 1999Date of Patent: March 6, 2001Assignee: Advanced Micro Devices, Inc.Inventor: Matthew S. Buynoski
-
Patent number: 6197688Abstract: In one embodiment, a conductive interconnect (38) is formed in a semiconductor device by depositing a dielectric layer (28) on a semiconductor substrate (10). The dielectric layer (28) is then patterned to form an interconnect opening (29). A tantalum nitride barrier layer (30) is then formed within the interconnect opening (29). A catalytic layer (31) comprising a palladium-tin colloid is then formed overlying the tantalum nitride barrier layer (30). A layer of electroless copper (32) is then deposited on the catalytic layer (31). A layer of electroplated copper (34) is then formed on the electroless copper layer (32), and the electroless copper layer (32) serves as a seed layer for the electroplated copper layer (34). Portions of the electroplated copper layer (34) are then removed to form a copper interconnect (38) within the interconnect opening (29).Type: GrantFiled: February 12, 1998Date of Patent: March 6, 2001Assignee: Motorola Inc.Inventor: Cindy Reidsema Simpson
-
Patent number: 6197689Abstract: A method of manufacturing a semiconductor device includes: a step of forming a conductive layer on a semiconductor substrate, the conductive layer being made of aluminum or aluminum alloy; a step of forming a resist pattern on the conductive layer, the resist pattern having an opening pattern including a narrow space having a high aspect ratio and an open space having a low aspect ratio; a main etching step of dry-etching the conductive layer by using the resist mask as an etching mask, wherein the conductive layer is almost etched in the open space having the low aspect ratio and not fully etched in the narrow space having the high aspect ratio; and an over etching step of further dry-etching the conductive layer by using the resist mask as an etching mask and by using as etchant a mixed gas of HCl gas and at least one species of gas selected from the group consisting of He, Ar, Ne and H2.Type: GrantFiled: December 4, 1997Date of Patent: March 6, 2001Assignee: Yamaha CorporationInventor: Suguru Tabara
-
Patent number: 6197690Abstract: The formation and/or growth of dendrites emanating from Cu or Cu alloy lines into a bordering open dielectric field are prevented or substantially reduced by chemically removing a portion of the surface from the dielectric field and from between the lines after CMP by double sided scrubbing with a chemical agent. Embodiments include removing portions up to 60 Å of silicon oxide by double sided scrubbing with a solution containing ammonium fluoride, diammonium hydrogen citrate, triammonium citrate, and dionized water, with or without a surfactant.Type: GrantFiled: December 4, 1998Date of Patent: March 6, 2001Assignee: Advanced Micro Devices, Inc.Inventors: Diana M. Schonauer, Steven C. Avanzino, Kai Yang
-
Patent number: 6197691Abstract: A new method of forming shallow trench isolations has been achieved. A semiconductor substrate is provided. A first etch stop layer is deposited. The first etch stop layer and the semiconductor substrate are etched to form trenches. A gap fill layer of high density plasma oxide is deposited overlying the first etch stop layer and filling the trenches. The deposition of the gap fill layer is stopped before the planar top surface of the gap fill layer overlying the trenches reaches the level of the top surface of the first etch stop layer bordering the trenches. The gap fill layer is etched so that the gap fill layer overlying the trenches does not contact the gap fill layer overlying the first etch stop layer. A second etch stop layer is deposited. The deposition of the second etch stop layer is stopped before the planar top surface of the second etch stop layer overlying the trenches reaches the level of the top surface of the first etch stop layer.Type: GrantFiled: November 15, 1999Date of Patent: March 6, 2001Assignee: Chartered Semiconductor Manufacturing Ltd.Inventor: James Yong Meng Lee
-
Patent number: 6197692Abstract: A semiconductor wafer planarizing device for polishing a surface of a semiconductor wafer comprises a polishing pad having a hard polishing area and a soft polishing area. In a method of planarizing a surface of the semiconductor wafer by using the device, times during which said semiconductor wafer contacts said first area or said second area of the polishing pad is controlled.Type: GrantFiled: May 25, 1999Date of Patent: March 6, 2001Assignee: Oki Electric Industry Co., Ltd.Inventor: Kimihisa Fushimi
-
Patent number: 6197693Abstract: Generally, after etching process for gate electrode patterning, oxidation process is performed to compensate for etching damage. There is provided a method for forming a gate electrode of a semiconductor device, which prevents the metal layer comprised of the gate electrode for being oxidized in such an oxidation process. In the present invention, a polysilicon layer is etched to form a gate electrode pattern and re-oxidation process is performed to compensate for the etching damage. After this, an inter-layer insulating layer is formed over the entire structure and partially removed so as to expose the polysilicon layer. A part of the polysilicon layer is then selectively removed to form an opening in the inter-layer insulating layer. Here, the other part of the polysilicon layer, which will be connected to a metal layer later, is exposed through the opening. The metal layer is then buried within the opening to complete the formation of the gate electrode made of poly-metal structure.Type: GrantFiled: June 9, 1999Date of Patent: March 6, 2001Assignee: Hyundai Electronics Industries Co., Ltd.Inventors: Hyeon Soo Kim, Sang Do Lee
-
Patent number: 6197694Abstract: A method is described for cleaning a silicon surface of a semiconductor wafer in a vacuum chamber while radiantly heating said silicon surface to maintain it within a first temperature range in the presence of hydrogen gas; then quickly cooling the wafer down to a second temperature range by reducing the radiant heat; and then forming a layer of either polysilicon or oxide over the cleaned surface within this second temperature range without removing the cleaned wafer from the chamber. By cleaning the wafer and then depositing polysilicon or growing oxide over the cleaned silicon surface in the same vacuum chamber, formation of oxides and other contaminants on the cleaned silicon surface between the cleaning step and the deposition or growth step is inhibited, resulting in a higher quality polysilicon or oxide layer formed over the cleaned silicon surface.Type: GrantFiled: July 31, 1996Date of Patent: March 6, 2001Assignee: Applied Materials, Inc.Inventor: Israel Beinglass
-
Patent number: 6197695Abstract: This invention relates to a process for the manufacture of one electronic structure comprising at least one active component and at least one passive component or element on a support substrate made of an insulating material. A characteristic process comprises the following steps: make the active component in a surface layer made of semiconducting material from an initial substrate comprising a wafer of semiconducting material supporting the said surface layer, make electrical insulation areas capable of insulating the passive component or element from the active component, make the passive component or element on and/or in the electrical insulation areas, prepare the surface of the initial substrate face with the said electronic structure to make this face compatible for bonding with another substrate by molecular bonding, perform the bonding, the other substrate being the said support substrate made of an insulating material, eliminate all or part of the wafer of semiconducting material.Type: GrantFiled: October 15, 1999Date of Patent: March 6, 2001Assignee: Commissariat a l'Energie AtomiqueInventors: Jean-Pierre Joly, Bernard Aspar, Béatrice Biasse, Marc Zussy
-
Patent number: 6197696Abstract: In a method for forming an interconnection structure, first, second and third insulating films and a thin film are sequentially formed over lower-level metal interconnects. Then, the thin film is masked with a first resist pattern and etched to form a mask pattern with openings for interconnects. Next, the third insulating film is masked with a second resist pattern and dry-etched such that the third insulating film and the first and second resist patterns are etched at a high rate and that the second insulating film is etched at a low rate to form openings for contact holes in the third insulating film and remove the first and second resist patterns. Then, the second insulating film is masked with the third insulating film and dry-etched such that the second insulating film is etched at a high rate and that the first and third insulating films are etched at a low rate to form the openings for contact holes in the second insulating film.Type: GrantFiled: March 23, 1999Date of Patent: March 6, 2001Assignee: Matsushita Electric Industrial Co., Ltd.Inventor: Nobuo Aoi
-
Patent number: 6197697Abstract: A method of patterning a brittle material, and particularly a semiconductor material, is provided comprising ion implantation induced selective area exfoliation. The method includes steps of masking the material, implanting unmasked regions of the material, with light ions of Hydrogen or Helium, and rapid thermal annealing at the temperature causing exfoliation of the material from the implanted regions. As a result, the material is patterned to a depth determined by the depth of ion implantation. The method allows patterning through crystalline or non-crystalline materials, or several layers of different materials at the same time. When the mask has straight sharp edges aligned parallel to natural cleavage planes of the semiconductor material, the exfoliation results in formation of high quality sidewall-facets of exfoliated material and of the remaining patterned material at the boundaries of exfoliated regions.Type: GrantFiled: August 19, 1999Date of Patent: March 6, 2001Assignee: Nortel Networks LimitedInventors: Todd William Simpson, Ian Vaughan Mitchell, Grantley Oliver Este, Frank Reginald Shepherd
-
Patent number: 6197698Abstract: The present invention provides a method for etching a poly-silicon layer of a semiconductor wafer. The semiconductor wafer comprises a dielectric layer, a poly-silicon layer situated on the dielectric layer and containing dopants to a predetermined depth, and a photo-resist layer having a rectangular cross-section above a predetermined area of the poly-silicon layer. The semiconductor wafer is processed in a plasma chamber. A first dry-etching process is performed to vertically etch away the dopant-containing portion of the poly-silicon layer not covered by the photo-resist layer. Then, a second dry-etching process is performed to vertically etch away the residual portion of the poly-silicon layer not covered by the photo-resist layer down to the surface of the dielectric layer. The etching gases used in the first dry-etching process differ from those used in the second dry-etching process, and the main etching gas of the first dry-etching process is C2F6.Type: GrantFiled: June 28, 1999Date of Patent: March 6, 2001Assignee: United Microelectronics Corp.Inventors: Jui-Tsen Huang, Kuang-Hua Shih, Tsu-An Lin, Chan-Lon Yang