Patents Issued in April 20, 2004
-
Patent number: 6723624Abstract: A method for fabricating an n-type carbon nanotube device, characterized in that thermal annealing and plasma-enhanced chemical vapor-phased deposition (PECVD) are employed to form a non-oxide gate layer on a carbon nanotube device. Moreover, the inherently p-type carbon nanotube can be used to fabricate an n-type carbon nanotube device with reliable device characteristics and high manufacturing compatibility.Type: GrantFiled: February 21, 2003Date of Patent: April 20, 2004Assignee: Industrial Technology Research InstituteInventors: Hung-Hsiang Wang, Jeng-Hua Wei, Ming-Jer Kao
-
Patent number: 6723625Abstract: Disclosed is a semiconductor device (e.g., nonvolatile semiconductor memory device) and method of forming the device. The device includes a gate electrode (e.g., floating gate electrode) having a first layer of an amorphous silicon film, or a polycrystalline silicon thin film or a film of a combination of amorphous and polycrystalline silicon, on the gate insulating film. Where the film includes polycrystalline silicon, the thickness of the film is less than 10 nm. A thicker polycrystalline silicon film can be provided on or overlying the first layer. The memory device can increase the write/erase current significantly without increasing the low electric field leakage current after application of stresses, which in turn reduces write/erase time substantially.Type: GrantFiled: September 23, 2002Date of Patent: April 20, 2004Assignee: Renesas Technology CorporationInventors: Toshiyuki Mine, Jiro Yugami, Takashi Kobayashi, Masahiro Ushiyama
-
Patent number: 6723626Abstract: In a method of manufacturing a semiconductor device, an insulating film is formed on a semiconductor substrate, and a wiring line groove is formed in the insulating film. Then, a conductive film is formed to fill the wiring line groove and to cover the insulating film. The conductive film is removed using a CMP polishing method until the insulating film is exposed, to complete a wiring line. Subsequently, a front side of the semiconductor substrate is rinsed on which the wiring line is formed, and then a back side of the semiconductor substrate is rinsed while supplying to the front side of the semiconductor substrate, a protection solution for forming a protection film in an exposed surface of the wiring line.Type: GrantFiled: September 3, 2002Date of Patent: April 20, 2004Assignee: NEC Electronics CorporationInventors: Yasuaki Tsuchiya, Akira Kubo
-
Patent number: 6723627Abstract: There is provided a method for manufacturing semiconductor devices includes the steps of: packaging onto a wiring board a semiconductor chip that flux is coated to its right face onto which ball-like solder electrodes are connected; forcedly spraying a washing solution to an under-fill portion between the semiconductor chip and the wiring board, to wash off the flux; and exposing the wiring board to an oxygen-plasma atmosphere to conduct plasma processing on the wiring board.Type: GrantFiled: October 3, 2000Date of Patent: April 20, 2004Assignee: NEC CorporationInventors: Syuuichi Kariyazaki, Hirokazu Honda
-
Patent number: 6723628Abstract: Certain embodiments of the present invention relate to a semiconductor device that has a pad section having an excellent coherency with an interlayer dielectric layer, and a method for manufacturing the same. A semiconductor device 1000 has a pad layer 30A formed over an interlayer dielectric layer 20. The pad section 30A includes a wetting layer 32 and a metal wiring layer 37. The metal wiring layer 37 includes an alloy layer 34 that contacts the wetting layer 32. The alloy layer 34 is formed from a material composing the wetting layer 32 and a material composing the metal wiring layer 37.Type: GrantFiled: March 27, 2001Date of Patent: April 20, 2004Assignee: Seiko Epson CorporationInventors: Kazuki Matsumoto, Yukio Morozumi, Michio Asahina
-
Patent number: 6723629Abstract: The invention discloses a method for attaching solder members (114) to a substrate (112). The method includes forming a decal (110) with a plurality of solder members (114). The method further comprises aligning the decal (110) with the substrate (112) and transferring the solder members (114) on the decal (110) to the substrate (112).Type: GrantFiled: July 10, 2002Date of Patent: April 20, 2004Assignee: Texas Instruments IncorporatedInventors: Gregory B. Hotchkiss, Gary D. Stevens
-
Patent number: 6723630Abstract: A solder ball fabrication process for forming solder balls over a wafer having an active layer is provided. A plurality of patterned solder mask layers is sequentially formed over the active surface of the wafer. Each patterned solder mask layer has at least an opening that exposes a solder ball pad on the wafer. The opening of the patterned solder mask layers further away from the solder ball pad is larger in diameter than the opening of the patterned solder mask close to the solder ball pad. Solder material is deposited into the openings and a reflow process is conducted to melt the solder material together so that a solder ball is formed over the solder ball pad.Type: GrantFiled: February 12, 2003Date of Patent: April 20, 2004Assignee: Advanced Semiconductor Engineering, Inc.Inventors: Ho-Ming Tong, Chun-Chi Lee, Jen-Kuang Fang, Min-Lung Huang, Jau-Shoung Chen, Ching-Huei Su, Chao-Fu Weng, Yung-Chi Lee, Yu-Chen Chou
-
Patent number: 6723631Abstract: The copper interconnect formed by the use of a damascene technique is improved in dielectric breakdown strength (reliability). During post-CMP cleaning, alkali cleaning, a deoxidizing process due to hydrogen annealing or the like, and acid cleaning are carried out in this order. After the post-CMP cleaning and before forming an insulation film for a cap film, hydrogen plasma and ammonia plasma processes are carried out on the semiconductor substrate. In this way, a copper-based buried interconnect is formed in an interlayer insulation film structured of an insulation material having a low dielectric constant.Type: GrantFiled: September 28, 2001Date of Patent: April 20, 2004Assignee: Renesas Technology CorporationInventors: Junji Noguchi, Shoji Asaka, Nobuhiro Konishi, Naohumi Ohashi, Hiroyuki Maruyama
-
Patent number: 6723632Abstract: Adjacent metal lines of an interconnect metallization layer exhibit reduced variation in parasitic capacitance due to the presence of an intervening third metal line. The third metal line is electrically linked to one of the adjacent metal lines and is designed to project into the space between the adjacent metal lines, thereby elevating parasitic capacitance while reducing the range of variation of parasitic capacitance over a known range of critical dimensions. Thickness of the interlayer dielectric formed over the adjacent metal lines can be tailored to trigger penetration of the third metal line within a known range of critical dimensions.Type: GrantFiled: April 10, 2002Date of Patent: April 20, 2004Assignee: National Semiconductor CorporationInventor: Peter J. Hopper
-
Patent number: 6723633Abstract: For suppressing decomposition of an organic group (for example, a CH3 group) which is bonded to an Si atom of an organic SOG film for use in a flattening process at the time of an ashing process, there is provided a method comprising the steps of: forming an organic SOG layer directly on a lower wiring layer or on a predetermined film including a hillock protection layer which is formed on the lower wiring layer in advance; forming an upper wiring layer on the organic SOG layer without using an etching back process; forming a via hole through an etching process by using a patterned resist layer provided on the upper wiring layer as a mask; performing an ashing process with a plasma by making ions or radicals which are induced from oxygen gas as a main reactant, under an atmospheric pressure ranging from 0.01 Torr to 30.0 Torr; and filling said via hole with a conductive material so as to electrically connect the lower wiring layer to the upper wiring layer.Type: GrantFiled: November 7, 2002Date of Patent: April 20, 2004Assignee: Tokyo Ohka Kogyo Co., Ltd.Inventors: Hiroyuki Iida, Kazuto Ohbuchi, Atsushi Matsushita, Yoshio Hagiwara
-
Patent number: 6723634Abstract: Semiconductor devices comprising interconnects with improved adhesion of barrier layers to dielectric layers are formed by laser thermal annealing, in N2 and H2, exposed surfaces of a dielectric layer defining an opening, and then depositing Ta to form a composite layer lining the opening. Embodiments include forming a dual damascene opening in an interlayer dielectric comprising F-containing dielectric material, such as F-silicon oxide derived from F-TEOS, impinging a pulsed laser light beam on exposed surfaces of the F-silicon oxide defining the opening in a flow of N2 and H2, and then depositing Ta to form a composite barrier layer comprising graded tantalum nitride and &agr;-Ta lining the opening. Laser thermal annealing in N2 and H2 depletes the exposed silicon oxide surfaces of F while enriching the surfaces with N2. Deposited Ta reacts with the N2 in the N2-enriched surface region to form a composite barrier layer comprising a graded layer of tantalum nitride and a layer of &agr;-Ta thereon.Type: GrantFiled: March 14, 2002Date of Patent: April 20, 2004Assignee: Advanced Micro Devices, Inc.Inventors: Minh Van Ngo, Dawn Hopper
-
Patent number: 6723635Abstract: Low-k ILDs are protected from degradation during damascene processing by depositing a thin, conformal silicon carbide liner with a silicon-rich surface before barrier metal layer deposition. Embodiments include forming a dual damascene opening in porous low-k dielectric layers, depositing a thin silicon carbide liner with a silicon-rich surface lining the opening, depositing a barrier metal layer, such as a Ta/TaN composite, and filling the opening with Cu.Type: GrantFiled: April 4, 2002Date of Patent: April 20, 2004Assignee: Advanced Micro Devices, Inc.Inventors: Minh Van Ngo, Christy Mei-Chu Woo, Steven C. Avanzino, John E. Sanchez, Jr., Suzette K. Pangrle
-
Patent number: 6723636Abstract: According to one embodiment of the invention, a method for forming multiple layers of a semiconductor device is provided. The method includes defining a via through a dielectric layer that overlies a first layer. The first layer comprises a conductive portion that at least partly underlies the via. The method also includes overfilling the via with a dielectric material to form a second layer that overlies the dielectric layer. The method also includes forming a trench that is connected to the via by etching through the second layer and the dielectric material in the via.Type: GrantFiled: May 28, 2003Date of Patent: April 20, 2004Assignee: Texas Instruments IncorporatedInventors: Noel M. Russell, Kenneth Joseph Newton, Changming Jin
-
Patent number: 6723637Abstract: An impurity diffusion layer serving as the source or the drain of a transistor is formed in a semiconductor substrate, and a protection insulating film is formed so as to cover the transistor. A capacitor lower electrode, a capacitor dielectric film of an oxide dielectric film and a capacitor upper electrode are successively formed on the protection insulating film. A plug for electrically connecting the impurity diffusion layer of the transistor to the capacitor lower electrode is buried in the protection insulating film. An oxygen barrier layer is formed between the plug and the capacitor lower electrode. The oxygen barrier layer is made from a composite nitride that is a mixture or an alloy of a first nitride having a conducting property and a second nitride having an insulating property.Type: GrantFiled: May 20, 2003Date of Patent: April 20, 2004Assignee: Matsushita Electric Industrial Co., Ltd.Inventors: Toshie Kutsunai, Shinichiro Hayashi, Takumi Mikawa, Yuji Judai
-
Patent number: 6723638Abstract: In a method of fabricating a semiconductor device, a gate oxide layer is provided on a silicon substrate. A first polysilicon layer is provided on the gate oxide layer, a dielectric layer is provided on the first polysilicon layer, and a second polysilicon layer is provided on the dielectric layer. Upon appropriate masking, an etch step is undertaken, etching the second polysilicon layer, dielectric layer, first polysilicon layer, and gate oxide layer to remove portions thereof to expose the silicon substrate and to form a stacked gate structure on the silicon substrate. A rapid thermal anneal is undertaken for a short period of time, i.e., for example 10-20 seconds, to grow a thin oxide layer on the stacked gate structure. Then, another oxide layer is deposited over the oxide layer which was formed by rapid thermal anneal.Type: GrantFiled: February 5, 2003Date of Patent: April 20, 2004Assignee: Advanced Micro Devices, Inc.Inventors: Yue-Song He, Sameer Haddad, Zhi-Gang Wang
-
Patent number: 6723639Abstract: A common problem associated with damascene structures made of copper inlaid in FSG (flourinated silicate glass) is the formation of defects near the top surface of the structure. The present invention avoids this problem by laying down a layer of USG (undoped silicate glass) over the surface of the FSG layer prior to patterning and etching the latter to form the via hole and (for a dual damascene structure) the trench. After over-filling with copper, the structure is planarized using CMP. The USG layer acts both to prevent any flourine from the FSG layer from reaching the copper and as an end-point detector during CMP. In this way defects that result from copper-fluorine interaction do not form and precise planarization is achieved.Type: GrantFiled: May 24, 2001Date of Patent: April 20, 2004Assignee: Taiwan Semiconductor Manufacturing CompanyInventors: Chung-Shi Liu, Shau-Lin Shue
-
Patent number: 6723640Abstract: The present invention provides a method for forming a contact plug of a semiconductor device capable of preventing an attack to conductive patterns. The method includes the steps of: forming a plurality of conductive patterns on a substrate; forming an insulating layer on top of an entire structure including the plurality of the conductive pattern; forming a contact hole by selectively etching the insulating layer; forming a conductive layer for a contact plug on the entire structure including the contact hole; forming a metal sacrificial layer on the entire structure including the conductive layer; exposing the conductive layer by performing an etchback process to the metal sacrificial layer, wherein the metal sacrificial layer is left on a lower topology area induced by the conductive patterns; and forming plugs, each being isolated by polishing the remained metal sacrificial layer, the conductive layer and the insulating layer through the use of slurry.Type: GrantFiled: December 31, 2002Date of Patent: April 20, 2004Assignee: Hynix Semiconductor Inc.Inventors: Sung-Kwon Lee, Dong-Sauk Kim, Hyung-Soon Park, Ho-Seok Lee, Sang-Ik Kim
-
Patent number: 6723641Abstract: After forming a phosphor-doped amorphous silicon film and before forming a bottom silicon oxide film, a heat treatment is performed while exhausting a gas from the vicinity of the silicon substrate. The heat treatment is performed at a temperature equal to or higher than that for forming the bottom silicon oxide film and at a pressure equal to or lower than that for forming the bottom silicon oxide film. Alternatively, after forming the phosphor-doped amorphous silicon film and before forming the bottom silicon oxide film, a TEOS oxide film and a phosphor-doped amorphous silicon film deposited on the back surface of the silicon substrate are removed. Further alternatively, these films deposited on the back surface of the silicon substrate are covered with a film which prevents gas desorption under the film formation condition for the bottom silicon oxide film.Type: GrantFiled: June 4, 2002Date of Patent: April 20, 2004Assignee: Mitsubishi Denki Kabushiki KaishaInventor: Kojiro Yuzuriha
-
Method for forming nitrogen-containing oxide thin film using plasma enhanced atomic layer deposition
Patent number: 6723642Abstract: A method for forming a nitrogen-containing oxide thin film by using plasma enhanced atomic layer deposition is provided. In the method, the nitrogen-containing oxide thin film is deposited by supplying a metal source compound and oxygen gas into a reactor in a cyclic fashion with sequential alternating pulses of the metal source compound and the oxygen gas, wherein the oxygen gas is activated into plasma in synchronization of the pulsing thereof, and a nitrogen source gas is further sequentially pulsed into the reactor and activated into plasma over the substrate in synchronization with the pulsing thereof. According to the method, a dense nitrogen-containing oxide thin film can be deposited at a high rate, and a trace of nitrogen atoms can be incorporated in situ into the nitrogen-containing oxide thin film, thereby increasing the breakdown voltage of the film.Type: GrantFiled: February 27, 2003Date of Patent: April 20, 2004Assignee: Electronics and Telecommunications Research InstituteInventors: Jung-wook Lim, Sun-jin Yun -
Patent number: 6723643Abstract: A method of CMP thin films during fabrication of IC devices includes preparing a substrate, including building IC component structures on the substrate; depositing a bottom electrode on the substrate; depositing a first CMP layer having a first known CMP selectivity on the substrate; patterning the first CMP layer to form a pattern having a lower margin; forming indicator structures on the first CMP layer in the pattern; depositing a second CMP layer having a second known CMP selectivity relative to that of the first CMP layer, including depositing portions of the second CMP layer in the pattern of the first CMP layer; CMP the structure so that the indicator structures are removed and any portion of the first CMP layer and second CMP layer are removed to a level corresponding to the lower margin; and completing the IC structure.Type: GrantFiled: March 17, 2003Date of Patent: April 20, 2004Assignee: Sharp Laboratories of America, Inc.Inventors: Wei Pan, David R. Evans, Allen W. Burmaster
-
Patent number: 6723644Abstract: A method of manufacturing a semiconductor device is capable of preventing a dishing phenomenon from occurring without using dummy patterns. A plurality of conductive patterns are formed along the entire surface of a semiconductor substrate with an irregular pattern density. The conductive patterns have a first stopper layer at the top thereof. An interlayer insulating layer is formed on the conductive patterns. Next, a second stopper layer is formed on the interlayer insulating layer. An etching mask is formed on the second stopper layer so as to expose a first region having a conductive pattern density that is higher than that of another region(s). By using the etching mask, the second stopper layer and part of the interlayer insulating layer are etched at the first region.Type: GrantFiled: March 12, 2002Date of Patent: April 20, 2004Assignee: Samsung Electronics Co., Ltd.Inventors: Jung-yup Kim, Sang-rok Hah
-
Patent number: 6723645Abstract: A method of forming a metal wiring in a semiconductor device is disclosed. In order to improve a low deposition speed in the process technology by which a damascene pattern of an ultra-fine structure is filled with copper by CVD method, a CECVD method is disclosed by which a chemical enhancer layer for increasing the deposition speed of copper is formed and the damascene pattern is then filled by means of MOCVD method using a copper precursor which forms a copper wiring. A diffusion prevention film is formed on the sidewall of the damascene pattern in the shape of a spacer in order to prevent an increase of the via resistance by the diffusion of copper into the sidewalls of the damascene pattern. A chemical enhancer layer is selectively formed on a lower metal layer that is exposed by the damascene pattern, thus allowing a selective partial filling of the damascene pattern. Therefore, copper filling in an ultra-fine structure is facilitated which also minimizes the electrical resistivity of the copper wiring.Type: GrantFiled: June 6, 2001Date of Patent: April 20, 2004Assignee: Hynix Semiconductor IncInventor: Sung Gyu Pyo
-
Patent number: 6723646Abstract: The present invention relates a method of controlling and monitoring the thickness variation of the film structure of a semiconductor wafer by monitoring the thickness variation of the film structure of a testing region. The method is characterized by etching the film structure of the testing region with a pattern density substantially compatible with that of the device region in order to precisely simulate the thickness variation of the film structure of a device region in a chemical mechanical polishing process.Type: GrantFiled: January 25, 2002Date of Patent: April 20, 2004Assignee: Macronix International Co., Ltd.Inventors: Chun-Lien Su, Chi-Yuan Chin, Shih-Keng Cho, Ming-Shang Chen, Yih-Shi Lin
-
Patent number: 6723647Abstract: A method is disclosed for manufacturing a semiconductor device. Initially, a conductive layer is formed over a cell array region, in which high-integrated devices are formed, and over a non-cell region, which functions to assist a proper formation of the cell array region. An etching mask pattern is then formed over the conductive layer to form a conductive pattern over the cell array region and to remove the conductive layer formed on the non-cell region. The conductive pattern is actually formed by etching the conductive layer. An ion-assisted plasma etching is then implemented to form a pattern on the cell array region. This prevents the generation of arcing caused by independent conductive patterns formed on the non-cell region during the ion-assisted plasma etching.Type: GrantFiled: July 17, 2000Date of Patent: April 20, 2004Assignee: Samsung Electronics Co., Ltd.Inventors: Dong-Yun Kim, Yong-Hyeon Park
-
Patent number: 6723648Abstract: A method for fabricating a high density ferroelectric memory device is disclosed in which the burden of etching a storage electrode and a plate electrode is alleviated, and a ferroelectric capacitor module is made highly dense. A seed layer and a sacrificial layer are sequentially formed on a semiconductor substrate. The sacrificial layer is selectively etched to form a loop-shaped sacrificial layer pattern. First and second electrodes are simultaneously formed on the seed layer (thus exposed) by carrying out an electrochemical deposition process after formation of the sacrificial layer pattern. The sacrificial layer pattern is removed. The seed layer (thus exposed) is etched after removal of the sacrificial layer pattern. A ferroelectric thin film is formed by carrying out a spin-on process on the entire surface including the first and second electrodes.Type: GrantFiled: May 2, 2002Date of Patent: April 20, 2004Assignee: Hynix Semiconductor Inc.Inventor: Eun-Seok Choi
-
Patent number: 6723649Abstract: A method of fabricating a semiconductor memory device, particularly a mask ROM. A sacrificial oxide layer is formed on a silicon substrate and then a photoresist layer is formed on the sacrificial oxide layer. The photoresist layer is patterned to form a plurality of openings where bit lines are to extend respectively. Taking the patterned photoresist layer as a mask, arsenic ions are implanted into the silicon substrate through the openings and then boron ions are implanted into the silicon substrate through the openings. The implantation depth of boron ions are deeper than arsenic ions. The photoresist layer and the sacrificial oxide layer are removed after implantation. A gate oxide and a field oxide are grown simultaneously on the non-implanted and the implanted regions of the semiconductor layers respectively and a gate conductive layer is deposited on the silicon substrate.Type: GrantFiled: May 31, 2002Date of Patent: April 20, 2004Assignee: Macronix International Co.Inventors: Tsai-Fu Chang, Shih-Lin Chu, Ching-Pen Yeh
-
Patent number: 6723650Abstract: A technique for preparing a TEM sample for imaging of a defect in a wafer section during the course of integrated circuit fabrication on semiconductor wafer substrates. The TEM sample preparation technique of the present invention includes cutting a first cross-section void in the wafer section to expose the defect, providing a substantially transparent material on the defect to protect the defect from cutting particles, depositing a cutting line on the wafer section adjacent to the first cross-section void, and cutting a second cross-section void along the cutting line to define a TEM sample having a selected thickness between the first and second cross-section voids and containing the defect.Type: GrantFiled: April 3, 2003Date of Patent: April 20, 2004Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.Inventor: Chieh-Fei Chang
-
Patent number: 6723651Abstract: A method of plasma processing a silicon-containing object to be processed at a high etching rate without causing a surface of the object to have a hazy appearance, so that this surface can have an excellent visual quality. In the plasma processing method of etching the surface of the semiconductor wafer, gas containing sulfur hexafluoride and helium is used as a plasma-generating gas. A fluorine radical as an active substance which reacts with silicon of the surface of the semiconductor wafer, gaseous silicon tetrafluoride yielded by the reaction and a compound (SFn) of fluorine and sulfur that is generated as a reaction product are removed by the helium gas functioning as carrier gas. The helium gas prevents the reaction product from adhering to the surface of the wafer again.Type: GrantFiled: January 7, 2002Date of Patent: April 20, 2004Assignee: Matsushita Electric Industrial Co., Ltd.Inventors: Kiyoshi Arita, Tetsuhiro Iwai, Shoji Sakemi
-
Patent number: 6723652Abstract: A dry etching method and a method of manufacturing a semiconductor apparatus are disclosed with which satisfactory shape controllability can be obtained and a gate electrode formed by laminating tungsten can be formed without any breakage of a gate insulating film. A polysilicon film, a reaction barrier film made of tungsten nitride, a tungsten film and an offset film made of silicon nitride are sequentially formed on a gate insulating film. Then, a photoresist is used as a mask to etch the tungsten film. The etching process is performed by mixed gas of fluorine gas, chlorine, oxygen and nitrogen.Type: GrantFiled: February 24, 2000Date of Patent: April 20, 2004Assignee: Sony CorporationInventor: Seiichi Fukuda
-
Patent number: 6723653Abstract: Removal of rough edges in punctured or ruptured pores on the walls of an opening, such as a via and/or trench opening, in a layer of porous dielectric material, in an integrated circuit structure, is carried out to permit satisfactory lining of all exposed surfaces of the porous dielectric material with a barrier layer which prevents contact between a copper filler and the porous dielectric material, and facilitates filling of the completely lined punctured/ruptured pore with such copper filler to eliminate void formation. The rough edges of the punctured/ruptured pores are removed by an isotropic etch of the exposed walls of the opening. Preferably, the dielectric material in the porous dielectric material is a low k dielectric material.Type: GrantFiled: August 17, 2001Date of Patent: April 20, 2004Assignee: LSI Logic CorporationInventor: Yong-Bae Kim
-
Patent number: 6723654Abstract: A method for in-situ descum/hot bake/dry etch a polyimide photoresist layer and a passivation layer in a singe process chamber is disclosed. A process chamber that can be used for conducting in-situ a descum, a hot bake and a dry etch process sequentially in the same chamber is also disclosed. In the method, a process chamber equipped with a wafer platform and a wafer backside heating and cooling device is first provided, followed by the step of positioning a wafer that has a passivation layer and a patterned polyimide photoresist layer on top of the platform. An oxygen plasma is then generated in the chamber cavity to conduct a descum process, followed by flowing a heated inert gas onto a backside of the wafer to conduct a hot bake process. A cooling inert gas is then flown onto the wafer backside and an etchant gas is flown into the chamber to conduct a dry etch process for forming a via opening in the wafer.Type: GrantFiled: March 30, 2001Date of Patent: April 20, 2004Assignee: Taiwan Semiconductor Manufacturing Co., LtdInventors: Kuei-Jen Chang, Yuan-Ko Hwang, Juei-Wen Lin, Jen-Yung Tseng
-
Patent number: 6723655Abstract: The present invention discloses methods for fabricating a semiconductor device. In one embodiment, a conductive interconnection is formed on a semiconductor substrate to overlap with a mask insulating film pattern. An insulating film spacer is formed at side walls of the pattern, a high temperature oxide layer is formed on the resultant structure, and an interlayer insulating film is formed on the HTO film to planarize the surface of the resultant structure. Storage electrode and bit line contact holes are formed to expose the semiconductor substrate, by etching the interlayer insulating film according to a photolithography process using a contact mask. A landing plug poly is formed by depositing a conductive layer for a contact plug to fill up the contact holes.Type: GrantFiled: June 25, 2002Date of Patent: April 20, 2004Assignee: Hynix Semiconductor Inc.Inventors: Hyung Soon Park, Jong Goo Jung
-
Patent number: 6723656Abstract: A method and apparatus for etching a semiconductor die are disclosed whereby flowing an etchant material across an inactive thereof thins the semiconductor die. In one embodiment, the etchant includes a mixture of nitric acid, hydrofluoric acid, and acetic acid and turbulently flows from one edge of the semiconductor die, across the inactive surface of the semiconductor die, to an opposing edge of the semiconductor die.Type: GrantFiled: July 10, 2001Date of Patent: April 20, 2004Assignee: Nisene Technology GroupInventor: Kirk Martin
-
Patent number: 6723657Abstract: A method for the fabrication of a gate stack, in particular in very large scale integrated semiconductor memories, uses a combination of a damascene process and a CMP process to produce a gate stack which includes a polysilicon section, a silicide section and a covering-layer section thereabove. The gate stack can be fabricated by using conventional materials, has a very low sheet resistance of <1 ohm/unit area and may carry self-aligning contact sections.Type: GrantFiled: May 31, 2002Date of Patent: April 20, 2004Assignee: Infineon Technologies AGInventor: Arkalgud Sitaram
-
Patent number: 6723658Abstract: A MOSFET structure with silicate gate dielectrics and silicon or metal gates with HF-based wet silicate gate dielectric etch.Type: GrantFiled: July 15, 2002Date of Patent: April 20, 2004Assignee: Texas Instruments IncorporatedInventors: Mona M. Eissa, Antonio L. P. Rotondaro
-
Patent number: 6723659Abstract: A method of making a micromirror unit is provided. In accordance with the method, a micromirror unit is made from a material substrate having a multi-layer structure composed of silicon layers and at least one intermediate layer. The resulting micromirror unit includes a mirror forming base, a frame and a torsion bar. The method includes the following steps. First, a pre-torsion bar is formed by subjecting one of the silicon layers to etching. The obtained pre-torsion bar is rendered smaller in thickness than the mirror forming base and is held in contact with the intermediate layer. Then, the desired torsion bar is obtained by removing the intermediate layer contacting with the pre-torsion bar.Type: GrantFiled: November 29, 2001Date of Patent: April 20, 2004Assignees: Fujitsu Limited, Fujitsu Media Devices LimitedInventors: Yoshihiro Mizuno, Satoshi Ueda, Osamu Tsuboi, Ippei Sawaki, Hisao Okuda, Fumio Yamagishi, Norinao Kouma
-
Patent number: 6723660Abstract: A thin-film forming apparatus of the present invention is capable of reducing variation of film formation rate and forming thin films of a stable thickness. The thin-film forming apparatus can prevent decrease of the film formation rate due to raise of temperatures of an RF electrode and an inner wall of a reaction chamber, by supplying a pressure control gas of a predetermined pressure into the reaction chamber also in non-film formation time to keep a gas pressure in the reaction chamber constant. Thereby, thickness of a film grown on a substrate can be controlled to a constant thickness. Further, by heating the pressure control gas to raise its temperature to a value approximately equal to a temperature of a material gas, variation of the pressure of the gas in the reaction chamber is controlled and the temperatures of the inner wall of the reaction chamber and the RF electrode are kept constant.Type: GrantFiled: March 17, 2000Date of Patent: April 20, 2004Assignee: Kabushiki Kaisha ToshibaInventor: Arichika Ishida
-
Patent number: 6723661Abstract: Structures and methods for fabricating high speed digital, analog, and combined digital/analog systems using planarized relaxed SiGe as the materials platform. The relaxed SiGe allows for a plethora of strained Si layers that possess enhanced electronic properties. By allowing the MOSFET channel to be either at the surface or buried, one can create high-speed digital and/or analog circuits. The planarization before the device epitaxial layers are deposited ensures a flat surface for state-of-the-art lithography.Type: GrantFiled: July 16, 2001Date of Patent: April 20, 2004Assignee: AmberWave Systems CorporationInventor: Eugene A. Fitzergald
-
Patent number: 6723662Abstract: Methods of forming gate oxide films in integrated circuit devices using wet or dry oxidization processes with a reduced amount of chloride are disclosed. A gate oxide film is formed on a substrate on an active region adjacent to a trench isolation region in a first gas atmosphere with a first amount of chloride. The gate oxide film is annealed in a second gas atmosphere including a second amount of chloride that is greater than the first amount.Type: GrantFiled: July 30, 2003Date of Patent: April 20, 2004Assignee: Samsung Electronics Co., Ltd.Inventors: Kong-soo Lee, Jae-jong Han, Sung-eui Kim
-
Patent number: 6723663Abstract: For aggressively scaled field effect transistors, nitrogen is incorporated into a base oxide layer, wherein, at an initial phase of a plasma nitridation process, the nitrogen ion density is maintained at a value so that incorporation of nitrogen into the channel region is minimized. Subsequently, when the thickness of the base oxide layer has increased, due to residual oxygen in the plasma ambient, the nitrogen ion density is increased, thereby increasing the nitridation rate. Preferably, the nitrogen ion density is controlled by varying the pressure of the plasma ambient. Moreover, a system is disclosed that allows control of the nitridation rate in response to an oxide layer thickness.Type: GrantFiled: May 22, 2003Date of Patent: April 20, 2004Assignee: Advanced Micro Devices, Inc.Inventors: Karsten Wieczorek, Falk Graetsch, Lutz Herrmann
-
Patent number: 6723664Abstract: This invention discloses a method and apparatus where a pre-treatment which reduce interfacial level density is carried out before thin film deposition on a substrate utilizing a catalytic gas phase reaction. The catalytic gas phase reaction is generated with a treatment gas which is supplied with the substrate via a thermal catalysis body provided near the substrate surface. Thin film deposition on the substrate surface is carried out after this pre-treatment. The thermal catalysis body is made of tungsten, molybdenum, tantalum, titanium or vanadium, and is heated by a heater. And, this invention also discloses a semiconductor device having a semiconductor-insulator junction with its interfacial level density is 1012 eV −1cm−2 or less, which is brought by the above pre-treatment in the insulator film deposition process.Type: GrantFiled: January 10, 2002Date of Patent: April 20, 2004Assignees: NEC Compound Semiconductor Devices, Ltd., Anelva CorporationInventors: Hideki Matsumura, Akira Izumi, Atsushi Masuda, Yasunobu Nashimoto, Yosuke Miyoshi, Shuji Nomura, Kazuo Sakurai, Shouichi Aoshima
-
Patent number: 6723665Abstract: In a gas-phase treating process of a semiconductor wafer using hydrogen, there is provided a technique for safely eliminating the hydrogen in an exhaust gas discharged from a gas-phase treating apparatus. The profile at the end portions of the side walls of gate electrodes of a poly-metal structure is improved by forming the gate electrodes over a semiconductor wafer IA having a gate oxide film and then by supplying the semiconductor wafer 1A with a hydrogen gas containing a low concentration of water, as generated from hydrogen and oxygen by catalytic action, to oxidize the principal face of the semiconductor wafer 1A selectively. After this, the hydrogen in the exhaust gas, as discharged from an oxidizing furnace, is completely converted into water by causing it to react with oxygen by a catalytic method.Type: GrantFiled: April 2, 2003Date of Patent: April 20, 2004Assignees: Renesas Technology Corp., Hitachi Tokyo Electronics Co., Ltd.Inventors: Yoshikazu Tanabe, Toshiaki Nagahama, Nobuyoshi Natsuaki, Yasuhiko Nakatsuka
-
Patent number: 6723666Abstract: Gate oxide surface irregularities, such as surface roughness, are reduced by treatment with an oxygen-containing plasma. Embodiments include forming a gate oxide layer and then treating the formed gate oxide layer with an oxygen plasma to repair weak spots and fill in pin holes and surface irregularities, thereby reducing gate/gate oxide interface roughness.Type: GrantFiled: March 6, 2003Date of Patent: April 20, 2004Assignee: Advanced Micro Devices, Inc.Inventors: William G. En, Philip A. Fisher
-
Patent number: 6723667Abstract: Disclosed is a pack comprising a water-soluble polymer and a Saxifrage extract. The pack of the present invention allays irritation and pain on peeling, firms up the skin after use, moisturizes the skin, and has excellent remoisturizing properties, quick-drying properties and facility.Type: GrantFiled: March 19, 2001Date of Patent: April 20, 2004Assignee: Kanebo, Ltd.Inventors: Masato Saito, Akihiro Kuroda, Yoshikuni Yamashita
-
Patent number: 6723668Abstract: The cigar and cigarette burn resistant gaming cloth table includes a layer of fire retardant treated knit fabric laminated to a thin sheet of aluminum and wherein the other side of the aluminum sheet is laminated to a layer of non-woven synthetic fabric. Preferably, the top layer knit fabric is laminated to the aluminum via a latex adhesive. The lower non-woven fabric is laminated to the aluminum sheet via latex adhesive. Preferably, the knit layer, prior to being laminated to the thin sheet of aluminum, is treated with a fire retardant and an oil and water repellant chemical composition. Most preferably, the fire retardant and oil and water repellant chemical composition is a phosphorus fluorocarbon. The method includes treating the knit polyester fabric with fire retardant chemical, laminating a thin sheet of aluminum between the knit fabric layer and a layer of non-woven synthetic fabric. Preferably, the lamination is accomplished with a latex adhesive.Type: GrantFiled: June 1, 2001Date of Patent: April 20, 2004Assignee: Graph to Graphics, Inc.Inventor: Ivette Principe
-
Patent number: 6723669Abstract: The present invention provides multicomponent fine fiber webs and multilayer laminates thereof having an average fiber diameter less than about 7 micrometers and comprising a first olefin polymer component and a second distinct polymer component such as an amorphous polyolefin or polyamide. Multilayer laminates incorporating the fine multicomponent fiber webs are also provided such as, for example, spunbond/meltblown/spunbond laminates or spunbond/meltblown/meltblown/spunbond laminates. The fine multicomponent fiber webs and laminates thereof provide laminates having excellent softness, peel strength and/or controlled permeability.Type: GrantFiled: December 17, 1999Date of Patent: April 20, 2004Assignee: Kimberly-Clark Worldwide, Inc.Inventors: Darryl Franklin Clark, Justin Max Duellman, Bryan David Haynes, Matthew Boyd Lake, Jeffrey Lawrence McManus, Kevin Edward Smith
-
Patent number: 6723670Abstract: A new foam coated nonwoven fibrous mat having properties particularly suited for a facer on gypsum wallboard, laminates made therefrom and the method of making the mat is disclosed. The mat preferably contains a major portion of glass fibers and a minor portion of a resinous binder. The foam coating is permeable and reduces fiber dust and abrasion experienced in the past with relatively coarse, relatively inexpensive glass fibers in the mat. Contrary to previous methods, the foam coated fibrous mat is made in-line on a wet mat forming production line by applying a wet foam binder onto a wet, fibrous web followed by drying and curing in-line.Type: GrantFiled: August 7, 2001Date of Patent: April 20, 2004Assignee: Johns Manville International, Inc.Inventors: Richard Emil Kajander, Alan Michael Jaffee, Glenda B. Bennett
-
Patent number: 6723671Abstract: Fragrance emitting articles are provided along with methods of making and using the same. The fragrance emitting articles provided contain microcapsules of a fragrance, which microcapsules are associated with the fragrance emitting article without the addition of a binder. The invention also relates to methods of making the subject fragrance emitting articles and methods of using those articles.Type: GrantFiled: April 13, 2001Date of Patent: April 20, 2004Assignee: Lavipharm Laboratories Inc.Inventors: Yelena Zolotarsky, David O'Halloran, Florence Bernard, Pradeep Thaker
-
Patent number: 6723672Abstract: A high strength ceramic body and a method of making same are disclosed. The ceramic body is formed of ceramic composition containing 2.8-5.0% by weight MgO, an effective amount of grain growth inhibiting material, and the balance being essentially zirconia. The crystalline microstructure of the ceramic body comprises grains of cubic zirconia having an average grain size of less than about 30 microns in major dimension, 0.1-8.7% by volume of discrete particles of the grain growth inhibiting material, and precipitates of tetragonal zirconia having a substantially ellipsoidal shape with a long dimension of about 0.1-0.4 microns.Type: GrantFiled: July 17, 2001Date of Patent: April 20, 2004Assignee: Carpenter Advanced Ceramics, Inc.Inventors: Martin D. Stuart, Wilson H. Ta
-
Patent number: 6723673Abstract: The invention includes a dielectric ceramic powder mixture comprising at least ninety weight percent essentially pure barium titanate powder having an average particle size of from 0.2 to 1.2 microns; from 0.2 to 2.5 weight percent of barium lithium borosilicate flux; from 0.1 to 0.3 weight percent of MnCO3; a grain growth inhibitor such as niobium oxide or other niobate compound; and, 0.4 to 1.2 weight percent of an additive selected from the group consisting of a rare earth oxide, yttrium oxide, a combination of rare earth oxides, and a combination of yttrium oxide and rare earth oxides, such that ions of the additive(s) have an average ionic radius of about 0.97 angstroms. The dielectric ceramic powder provides a start powder for making very low firing multilayer ceramic capacitors satisfying X7R performance requirements.Type: GrantFiled: April 9, 2003Date of Patent: April 20, 2004Assignee: MRA Laboratories, Inc.Inventors: Galeb H. Maher, Samir Maher, James M. Wilson