Patents Issued in September 20, 2016
  • Patent number: 9448466
    Abstract: A display device having a simple circuit configuration and capable of lowering power consumption, and a drive method for the display device are provided. Rectangular wave voltage which changes between positive voltage V11 and 0 volt in cycle which is two times of cycle during which an optical state of an optical layer is changed is applied to an opposite electrode of a screen in a reverse mode. Pulse voltage which becomes a potential difference between electrodes of which optical state is changed when the optical state of the optical layer is changed is applied to a control electrode in a superimposed manner on rectangular wave voltage which changes between positive voltage V12 and 0 volt, which has the same cycle and the same phase as the rectangular wave voltage applied to the opposite electrode and which has the same value as V11.
    Type: Grant
    Filed: July 8, 2015
    Date of Patent: September 20, 2016
    Assignee: PIONEER CORPORATION
    Inventors: Toshihiro Yoshioka, Haruhito Miyazaki
  • Patent number: 9448467
    Abstract: A system and method comprising providing a layout of an integrated circuit design, generating, by a processor, a plurality of multiple patterning decompositions from the layout, determining a maximum mask shift between the first mask and the second mask and simulating a worst-case performance value for each of the plurality of multiple patterning decompositions using one or more mask shifts within a range defined by the maximum mask shift. Further, each of the plurality of multiple patterning decompositions comprise patterns separated to a first mask and a second mask of a multiple patterning mask set.
    Type: Grant
    Filed: February 18, 2014
    Date of Patent: September 20, 2016
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Chih-Cheng Chou, Te-Yu Liu, Ke-Ying Su, Hsien-Hsin Sean Lee
  • Patent number: 9448468
    Abstract: A reflective mask blank, a reflective mask, and methods for manufacturing those, which suppress reflectance at a light-shielding frame. The reflective mask includes a substrate, a multilayered reflective layer formed on the substrate, an absorption layer formed on the multilayered reflective layer, and a frame-shaped light-shielding frame area at which the absorption layer has a film thickness larger than a film thickness at other areas. The multilayered reflective layer is diffused and mixed at the light-shielding frame area through melting.
    Type: Grant
    Filed: March 27, 2014
    Date of Patent: September 20, 2016
    Assignee: TOPPAN PRINTING CO., LTD.
    Inventors: Masahito Tanabe, Norihito Fukugami, Yo Sakata, Tooru Komizo, Takashi Haraguchi
  • Patent number: 9448469
    Abstract: To provide a process for producing an EUV mask blank, whereby mixing at each interface between layers constituting a Mo/Si multilayer reflective film during a step involving heating, is suppressed, and a process for producing a reflective layer-coated substrate to be used for the production of the EUV mask blank. A process for producing a reflective layer-coated substrate for EUV lithography (EUVL), which has a step of forming a Mo/Si multilayer reflective film by alternately forming a molybdenum (Mo) layer and a silicon (Si) layer on a film-forming surface of a substrate, wherein after forming each Si layer except for a Si layer as the uppermost layer among Si layers constituting the Mo/Si multilayer reflective film, the surface of such each Si layer is exposed to a nitrogen-containing atmosphere held in a plasma state without being exposed to the air atmosphere, and then, a Mo layer is formed.
    Type: Grant
    Filed: August 21, 2014
    Date of Patent: September 20, 2016
    Assignee: Asahi Glass Company, Limited
    Inventor: Masaki Mikami
  • Patent number: 9448470
    Abstract: A method for making a mask includes receiving an integrated circuit (IC) design layout and identifying at least one targeted-feature-surrounding-location (TFSL) in the IC design layout, wherein TFSL is identified by a model-based approach. The method further includes inserting at least one phase bar (PB) in the IC design layout and performing an optical proximity correction (OPC) to the IC design layout having the at least one PB to form a modified IC design layout. A mask is then fabricated based on the modified IC design layout.
    Type: Grant
    Filed: August 21, 2014
    Date of Patent: September 20, 2016
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Ru-Gun Liu, Shou-Yen Chou, Hoi-Tou Ng, Ken-Hsien Hsieh, Yi-Yin Chen
  • Patent number: 9448471
    Abstract: The present invention provides a photo-mask for manufacturing structures on a semiconductor substrate, which comprises a photo-mask substrate, a first pattern, a second pattern and a forbidden pattern. A first active region, a second active region are defined on the photo-mask substrate, and a region other than the first active region and the second active region are defined as a forbidden region. The first pattern is disposed in the first active region and corresponds to a first structure on the semiconductor substrate. The second pattern is disposed in the second active region and corresponds to a second structure on the semiconductor substrate. The forbidden pattern is disposed in the forbidden region, wherein the forbidden pattern has a dimension beyond resolution capability of photolithography and is not used to form any corresponding structure on the semiconductor substrate. The present invention further provides a method of manufacturing semiconductor structures.
    Type: Grant
    Filed: July 21, 2014
    Date of Patent: September 20, 2016
    Assignee: UNITED MICROELECTRONICS CORP.
    Inventors: En-Chiuan Liou, Yu-Cheng Tung, Teng-Chin Kuo, Yuan-Chi Pai, Chun-Chi Yu
  • Patent number: 9448472
    Abstract: An optical pattern transfer mask includes a light transmissive substrate, a reflection layer pattern on a plurality of first regions of the light transmissive substrate, a light absorbing layer on the light transmissive substrate and the reflection layer pattern, and a bank layer pattern on the light absorbing layer corresponding to the plurality of first regions of the light transmissive substrate, the bank layer pattern being vertically aligned with the reflection layer pattern. The bank layer pattern includes a Diels-Alder polymer that is polymerizable and depolymerizable by a reversible Diels-Alder reaction.
    Type: Grant
    Filed: March 6, 2015
    Date of Patent: September 20, 2016
    Assignee: SAMSUNG DISPLAY CO., LTD.
    Inventor: Younggil Kwon
  • Patent number: 9448473
    Abstract: In the field of semiconductor production using charged particle beam lithography, a method and system for fracturing or mask data preparation or proximity effect correction is disclosed, where a non-circular target pattern to be formed on a surface is input. A plurality of charged particle beam shots for a multi-beam charged particle beam system is determined, where the plurality of shots will form a pattern on the surface, each charged particle beam shot being a multi-beam shot comprising a plurality of circular or nearly-circular beamlets. The pattern on the surface matches the target pattern within a predetermined tolerance. The determining is performed using a computing hardware device.
    Type: Grant
    Filed: December 15, 2015
    Date of Patent: September 20, 2016
    Assignee: D2S, Inc.
    Inventors: Akira Fujimura, Michael Tucker
  • Patent number: 9448474
    Abstract: A positive photosensitive resin composition, a pattern forming method, a thin film transistor array substrate, and a liquid crystal display device are provided. The positive photosensitive resin includes a novolac resin (A), an alkali-soluble resin (B), an ester (C) of an o-naphthoquinone diazide sulfonic acid, and a solvent (D). The alkali-soluble resin (B) includes a first alkali-soluble resin (B-1) produced by polymerizing a mixture. The mixture includes an epoxy compound (i) and a compound (ii), wherein the epoxy compound (i) has at least two epoxy groups, and the compound (ii) has at least one carboxylic acid group and at least one ethylenically unsaturated group.
    Type: Grant
    Filed: November 27, 2014
    Date of Patent: September 20, 2016
    Assignee: Chi Mei Corporation
    Inventors: Chi-Ming Liu, Chun-An Shih
  • Patent number: 9448475
    Abstract: A photoresist composition comprising a resin having an acid-labile group, an acid generator, and a compound represented by formula (I0).
    Type: Grant
    Filed: February 18, 2015
    Date of Patent: September 20, 2016
    Assignee: SUMITOMO CHEMICAL COMPANY, LIMITED
    Inventors: Tatsuro Masuyama, Takahiro Yasue, Koji Ichikawa
  • Patent number: 9448476
    Abstract: A photoresist composition includes about 0.1 to about 30 parts by weight of a photo-initiator, about 1 to 50 parts by weight of a first acrylate monomer including at least five functional groups, about 1 to 50 parts by weight of a second acrylate monomer including at most four functional groups with respect to about 100 parts by weight of an acryl-copolymer.
    Type: Grant
    Filed: October 24, 2014
    Date of Patent: September 20, 2016
    Assignee: SAMSUNG DISPLAY CO., LTD.
    Inventors: Sung-Kyun Park, Jeong-Min Park, Jung-Soo Lee, Ji-Hyun Kim, Jun Chun, Ki-Hyun Cho, Hyoc-Min Youn, Tai-Hoon Yeo, Jin-Sun Kim, Byung-Uk Kim
  • Patent number: 9448477
    Abstract: There is provided an actinic ray-sensitive or radiation-sensitive resin composition comprising: (A) a resin having a repeating unit represented by the specific formula and a group capable of decomposing by an action of an acid to produce a polar group; and an ionic compound represented by the specific formula, and a resist film comprising the actinic ray-sensitive or radiation-sensitive resin composition.
    Type: Grant
    Filed: March 13, 2015
    Date of Patent: September 20, 2016
    Assignee: FUJIFILM Corporation
    Inventors: Takeshi Kawabata, Hideaki Tsubaki, Hiroo Takizawa
  • Patent number: 9448478
    Abstract: A chemically amplified positive-type photosensitive resin composition for thick-film application capable of forming a resist pattern having a nonresist section with a good rectangular cross-sectional shape under a low exposure level even when a resist pattern having a film thickness of 10 ?m or more is formed. A chemically amplified positive-type photosensitive resin composition for thick-film application comprising an acid generator and an organic solvent, in which an acrylic resin is added that contains a constituent unit derived from an acrylic acid ester comprising an —SO2-containing cyclic group or a lactone-containing cyclic group as a resin whose solubility in alkali increases under the action of acid.
    Type: Grant
    Filed: March 19, 2015
    Date of Patent: September 20, 2016
    Assignee: TOKYO OHKA KOGYO CO., LTD.
    Inventors: Makiko Irie, Aya Momozawa, Yuta Yamamoto
  • Patent number: 9448479
    Abstract: The purpose of the present invention is to provide the following: a photosensitive epoxy resin composition that, via photolithography, can form a high-resolution, low-stress image that has vertical side walls and resists moisture and heat, and/or a resist laminate using said photosensitive epoxy resin composition; and an article or articles obtained by curing said photosensitive epoxy resin composition and/or resist laminate. The present invention is a photosensitive resin composition containing the following: an epoxy resin (A), a polyhydric phenol compound (B) having a specific structure, a cationic-polymerization photoinitiator (C), a silane compound (D) containing an epoxy group, and a reactive epoxy monomer (E) having a specific structure. The epoxy resin (A) contains the phenol derivative represented by formula (1), an epoxy resin (a) obtained via a reaction with epihalohydrin, and an epoxy resin (b) that can be represented by formula (2). The reactive epoxy monomer (E) is a bisphenol epoxy resin.
    Type: Grant
    Filed: November 21, 2013
    Date of Patent: September 20, 2016
    Assignee: Nippon Kayaku Kabushiki Kaisha
    Inventors: Naoko Imaizumi, Shinya Inagaki, Nao Honda
  • Patent number: 9448480
    Abstract: The present invention provides a novel resist underlayer film formation composition for lithography. A resist underlayer film formation composition for lithography comprising: a polymer having a structure of Formula (1) at a terminal of a polymer chain; a cross-linking agent; a compound promoting a cross-linking reaction; and an organic solvent; (where R1, R2, and R3 are each independently a hydrogen atom, a linear or branched C1-13 alkyl group, a halogeno group, or a hydroxy group; at least one of R1, R2, and R3 is the alkyl group; Ar is a benzene ring, a naphthalene ring, or an anthracene ring; two carbonyl groups are bonded to respective two adjacent carbon atoms of Ar; and X is a linear or branched C1-6 alkyl group optionally having a C1-3 alkoxy group as a substituent).
    Type: Grant
    Filed: September 22, 2014
    Date of Patent: September 20, 2016
    Assignee: NISSAN CHEMICAL INDUSTRIES, LTD.
    Inventors: Tokio Nishita, Ryuji Ohnishi, Noriaki Fujitani, Rikimaru Sakamoto
  • Patent number: 9448481
    Abstract: Techniques for reducing the number of shots required by a radiation beam writing tool to write a pattern, such as fractured layout design, onto a substrate. One or more apertures are employed by a radiation beam writing tool to write a desired pattern onto a substrate using L-shaped images, T-shaped images, or some combination of both. By reducing the number of shots required to write a pattern onto a substrate, various implementations of the invention may reduce the write time and/or write complexity of the write process.
    Type: Grant
    Filed: September 8, 2015
    Date of Patent: September 20, 2016
    Assignee: Mentor Graphics Corporation
    Inventors: Emile Y. Sahouria, Steffen Schulze
  • Patent number: 9448482
    Abstract: There is provided a pattern forming method including (1) forming a film by an actinic ray-sensitive or radiation-sensitive resin composition containing a resin (A) capable of increasing the polarity by the action of an acid so that a solubility thereof in a developer containing an organic solvent is decreased, (2) exposing the film, (3) developing the film by a developer including an organic solvent to form a negative pattern having a space part obtained by removing a part of the film and a residual film part which is not removed by the developing, (4) forming a resist film for reversing a pattern, on the negative pattern, so as to be embedded in the space part in the negative pattern, and (5) reversing the negative pattern into a positive pattern by removing the residual film part in the negative pattern by using an alkaline wet etching liquid.
    Type: Grant
    Filed: May 22, 2015
    Date of Patent: September 20, 2016
    Assignee: FUJIFILM Corporation
    Inventors: Kaoru Iwato, Takanobu Takeda, Hiroo Takizawa
  • Patent number: 9448483
    Abstract: Pattern shrink methods comprise: (a) providing a semiconductor substrate comprising one or more layers to be patterned; (b) providing a resist pattern over the one or more layers to be patterned; (c) coating a shrink composition over the pattern, wherein the shrink composition comprises a polymer and an organic solvent, wherein the polymer comprises a group containing a hydrogen acceptor effective to form a bond with an acid group and/or an alcohol group at a surface of the resist pattern, and wherein the composition is free of crosslinkers; and (d) rinsing residual shrink composition from the substrate, leaving a portion of the polymer bonded to the resist pattern. Also provided are pattern shrink compositions, and coated substrates and electronic devices formed by the methods. The invention find particular applicability in the manufacture of semiconductor devices for providing high resolution patterns.
    Type: Grant
    Filed: May 29, 2015
    Date of Patent: September 20, 2016
    Assignees: Dow Global Technologies LLC, Rohm and Haas Electronic Materials LLC
    Inventors: Phillip D. Hustad, Jong Keun Park, Jin Wuk Sung, James Heejun Park
  • Patent number: 9448484
    Abstract: A method of forming a micro-electromechanical systems (MEMS) pixel, such as a DMD-type pixel, by depositing a photoresist spacer layer upon a substrate. The photoresist spacer layer is exposed to a grey-scale lithographic mask to shape an upper surface of the photoresist spacer layer. A control member is formed upon the shaped spacer layer, and has a sloped portion configured to maximize energy density. An image member is configured to be positioned as a function of the control member to form a spatial light modulator (SLM).
    Type: Grant
    Filed: November 3, 2014
    Date of Patent: September 20, 2016
    Assignee: TEXAS INSTRUMENTS INCORPORATED
    Inventors: Patrick I. Oden, James C. Baker, Sandra Zheng, William C. McDonald, Lance W. Barron
  • Patent number: 9448485
    Abstract: [Object] To provide a composition enabling to form a fine negative photoresist pattern free from troubles, such as, surface roughness, bridge defects, and resolution failure; and also to provide a pattern formation method using that composition. [Means to Solve the Problem] A fine pattern-forming composition is used for miniaturizing a resist pattern by fattening said pattern in a process of formation of a negative resist pattern using a chemically amplified resist composition. The fine pattern-forming composition comprises a polymer comprising a repeating unit having a structure of the following formula (A), (B) or (C): and a solvent. This composition is cast on a negative resist pattern obtained by development with an organic solvent developer, and then heated to form a fine pattern.
    Type: Grant
    Filed: October 10, 2012
    Date of Patent: September 20, 2016
    Assignee: MERCK PATENT GMBH
    Inventors: Tetsuo Okayasu, Takashi Sekito, Masahiro Ishii
  • Patent number: 9448486
    Abstract: Provided are compositions and methods for trimming a photoresist pattern. The photoresist pattern trimming composition comprises: a matrix polymer comprising a unit formed from a monomer of the following general formula (I): wherein: R1 is chosen from hydrogen, fluorine, C1-C3 alkyl and C1-C3 fluoroalkyl; R2 is chosen from C1-C15 alkylene; and R3 is chosen from C1-C3 fluoroalkyl; an aromatic acid that is free of fluorine; and a solvent. The compositions and methods find particular applicability in the manufacture of semiconductor devices.
    Type: Grant
    Filed: December 30, 2014
    Date of Patent: September 20, 2016
    Assignee: Rohm and Haas Electronic Materials LLC
    Inventors: Cong Liu, Seung-Hyun Lee, Kevin Rowell, Gerhard Pohlers, Cheng-Bai Xu, Wenyan Yin, Thomas A. Estelle, Shintaro Yamada
  • Patent number: 9448487
    Abstract: A method of manufacturing semiconductor and an exposure system are provided. The method includes the following step. A material layer is formed on a substrate. A patterned photoresist layer is formed a on the material layer and a monitor parameter group is produced from a state information of the patterned photoresist layer. The monitor parameter group is calculated based on a mathematic formula to obtain a virtual parameter. Whether the virtual parameter is less than a reference value is determined. A layout process is performed on the material layer when the virtual parameter is less than the reference value.
    Type: Grant
    Filed: May 30, 2014
    Date of Patent: September 20, 2016
    Assignee: MACRONIX International Co., Ltd.
    Inventors: Shih-Rung Wu, Rui-Ming Lai
  • Patent number: 9448488
    Abstract: An off-axis alignment system includes, sequentially along a transmission path of a light beam, an illumination module (10), an interference module (20) and a detection module (30). The interference module (20) includes: a polarization beam splitter (21) having four side faces, the illumination module (10) and the detection modules (30) both located on a first side of the polarization beam splitter (21); a first quarter-wave plate (22) and a first reflector (23), sequentially disposed on a second side opposite to the first side; and a second quarter-wave plate (24) and a cube-corner prism (25), sequentially disposed on a third side of the polarization beam splitter (21); and a third quarter-wave plate (26), a second reflector (27) and a lens (28), sequentially disposed on a fourth side of the polarization beam splitter (21). The second reflector (27) is located on a rear focal plane of the lens (28). A center of a bottom of the cube-corner prism (25) is situated on an optical axis of the lens (28).
    Type: Grant
    Filed: August 5, 2013
    Date of Patent: September 20, 2016
    Assignee: SHANGHAI MICRO ELECTRONICS EQUIPMENT CO., LTD.
    Inventors: Pengli Zhang, Wen Xu, Fan Wang
  • Patent number: 9448489
    Abstract: The invention relates to an optical system for a microlithographic projection exposure apparatus, and to a microlithographic exposure method. An optical system for a microlithographic projection exposure apparatus comprises at least one mirror arrangement having a plurality of mirror elements, wherein these mirror elements can be adjusted independently of one another for changing an angular distribution of the light reflected by the mirror arrangement, and a polarization-influencing optical arrangement which is arranged downstream of the mirror arrangement in the light propagation direction, wherein the polarization-influencing optical arrangement reflects a light beam incident on the arrangement in at least two reflections, which do not occur in a common plane, for at least one angular distribution of the light reflected by the mirror arrangement.
    Type: Grant
    Filed: January 30, 2015
    Date of Patent: September 20, 2016
    Assignee: Carl Zeiss SMT GmbH
    Inventors: Ingo Saenger, Frank Schlesener
  • Patent number: 9448490
    Abstract: An EUV lithography system 1 comprises an EUV beam path and a monitor beam path 51. The EUV beam path comprises a mirror system 13, which has a base and a multiplicity of mirror elements 17 having concave mirror surfaces, the orientation of which relative to the base is respectively adjustable. The monitor beam path 51 comprises at least one monitor radiation source 53, a screen 71, the mirror system 13, which is arranged in the monitor beam path 51 between the monitor radiation source 53 and the screen 71, and a spatially resolving detector 77. In this case, each of a plurality of the mirror elements generates an image of the monitor radiation source in an image plane assigned to the respective mirror elements, distances B between the image planes assigned to the mirror elements and the screen have a maximum distance, distances A between each of the plurality of mirror elements and the image plane assigned to it have a minimum distance, and the maximum distance B is less than half of the minimum distance A.
    Type: Grant
    Filed: June 5, 2013
    Date of Patent: September 20, 2016
    Assignee: Carl Zeiss SMT GmbH
    Inventors: Udo Dinger, Lars Wischmeier, Markus Hauf, Stephan Kellner, Igor Gurevich, Markus Deguenther
  • Patent number: 9448491
    Abstract: A system of an extreme ultraviolet lithography (EUVL) is disclosed. an extreme ultraviolet lithography (EUVL) system includes an extreme ultraviolet (EUV) reflection-type mask having a patterned flare-suppressing-by-phase-shifting (FSbPhS) layer disposed over a patterned absorption layer. The system also includes a radiation to expose the EUV mask and a projection optics box (POB) to collect and direct the radiation that reflects from the EUV mask to expose a target.
    Type: Grant
    Filed: September 20, 2013
    Date of Patent: September 20, 2016
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Yen-Cheng Lu, Shinn-Sheng Yu, Jeng-Horng Chen, Anthony Yen
  • Patent number: 9448492
    Abstract: A multilayer mirror for use in device lithography is configured to reflect and/or pattern radiation having a wavelength in the range of about 6.4 nm to about 7.2 nm. The multilayer mirror has a plurality of alternating layers of materials. The plurality of alternating layers of materials include first layers of materials and second layers of materials. The second layers have a higher refractive index for the radiation than the first layers. The materials of the first layers and the materials of the second layers are mutually chemically unreactive at an interface therebetween at temperatures less than 300° C. This may allow the mirrors to have a narrow boundary region of intermingled materials from alternating layers between the layers, for example of 0.5 nm or less in width, which may improve sharpness of the boundary region and improve reflectivity.
    Type: Grant
    Filed: March 26, 2012
    Date of Patent: September 20, 2016
    Assignee: ASML NETHERLANDS B.V.
    Inventors: Andrei Mikhailovich Yakunin, Denis Alexandrovich Glushkov, Vladimir Nikolaevich Polkovnikov, Nikolay Nikolaevitch Salashchenko, Leonid Aizikovitch Sjmaenok
  • Patent number: 9448493
    Abstract: An exposure apparatus and method exposes a substrate by projecting an image of a pattern onto the substrate through a liquid. A projection optical system projects the image of the pattern onto the substrate. A recovery port recovers the liquid supplied onto the substrate. A temperature sensor measures a temperature of the liquid recovered via the recovery port.
    Type: Grant
    Filed: March 7, 2013
    Date of Patent: September 20, 2016
    Assignee: NIKON CORPORATION
    Inventor: Shigeru Hirukawa
  • Patent number: 9448494
    Abstract: A gas knife configured to dry a surface in an immersion lithographic apparatus is optimized to remove liquid by ensuring that a pressure gradient is built up in the liquid film on the surface being dried.
    Type: Grant
    Filed: July 6, 2015
    Date of Patent: September 20, 2016
    Assignee: ASML NETHERLANDS B.V.
    Inventors: Nicolaas Rudolf Kemper, Sjoerd Nicolaas Lambertus Donders, Christiaan Alexander Hoogendam, Nicolaas Ten Kate, Sergei Shulepov
  • Patent number: 9448495
    Abstract: A recording medium stores a program for causing a computer to execute a method of calculating a resist pattern.
    Type: Grant
    Filed: September 15, 2011
    Date of Patent: September 20, 2016
    Assignee: CANON KABUSHIKI KAISHA
    Inventors: Ryo Nakayama, Kouichirou Tsujita, Koji Mikami, Hiroyuki Ishii
  • Patent number: 9448496
    Abstract: An electrophotographic photosensitive member includes a conductive substrate and a photosensitive layer. The photosensitive layer includes a charge generating layer and a charge transport layer located on the charge generating layer. The charge transport layer contains a pigment that is absorptive with respect to a wavelength of exposed light. The pigment is a metal phthalocyanine pigment represented by General Formula (I) or a metal-free phthalocyanine pigment represented by General Formula (II), where X represents a sulfur atom or an oxygen atom. R1 represents an aryl group or an alkyl group. R2-R4 each represent a hydrogen atom, an alkyl group, an aryl group, an alkoxy group, a phenoxy group, an alkylthio group, a phenylthio group, or a dialkylamino group. M represents a metal atom. Y represents non-substitution or an alkyl group, an alkoxy group, an aryloxy group, a halogen atom, an oxygen atom, or a hydroxyl group.
    Type: Grant
    Filed: May 22, 2015
    Date of Patent: September 20, 2016
    Assignee: KYOCERA Document Solutions Inc.
    Inventors: Jun Azuma, Keiji Maruo, Kensuke Okawa, Akihiko Ogata
  • Patent number: 9448497
    Abstract: An overcoat layer and method to make an overcoated photoconductor drum of an electrophotographic image forming device using irradiation such as with electron beam (EB) or ultraviolet (UV) light is provided. The photoconductor drum is then cured using EB dose of between 10 and 100 kiloGrays (kGy), preferably between 20 and 40 kGys or UV irradiation with an exposure of between 0.1 and 2 J/cm2. The unique overcoat layer of the present invention is formed having a biphasic morphology comprised of a highly cured crosslinked phase and a second phase enriched in uncured material. The desired amount of uncured uncrosslinked material found in the second phase of the biphasic structure, is between 2-70 wt % range, with particularly good combination of long-life and electrical performance when present at the 5-50 wt % level, and the best performance at the 15-40 wt % level.
    Type: Grant
    Filed: December 31, 2013
    Date of Patent: September 20, 2016
    Assignee: LEXMARK INTERNATIONAL, INC.
    Inventors: Mark Thomas Bellino, Gerald Hugh Ciecior, Douglas Jeffrey Harris, Weimei Luo, Brian David Munson, Dat Quoc Nguyen, Scott Daniel Reeves, Tanya Yvonne Thames
  • Patent number: 9448498
    Abstract: A photoconductor and method of forming a photoconductor for an electrophotographic device comprising forming a charge generation material comprising a plurality of quantum dots, and forming an active region comprising one or more photoconductor layers comprising the charge generation material including the surface modified quantum dots.
    Type: Grant
    Filed: May 18, 2015
    Date of Patent: September 20, 2016
    Assignee: BROTHER INTERNATIONAL CORPORATION
    Inventors: Farzad Parsapour, Rodney Loyd
  • Patent number: 9448499
    Abstract: An electrophotographic toner having good grindability, and excellent fixability and durability, and a method of producing the same are provided. The electrophotographic toner includes an amorphous bioplastic having a weight average molecular weight (Mw) of 30,000 to 100,000, and at least one of a phenol resin and a terpene phenol resin as a grinding aid.
    Type: Grant
    Filed: February 2, 2015
    Date of Patent: September 20, 2016
    Assignee: CASIO COMPUTER CO., LTD.
    Inventors: Kenji Kihira, Hideki Ikeda, Yuichiro Iegaki, Yuta Kan
  • Patent number: 9448500
    Abstract: The present invention provides magnetic iron oxide particles having a high bonding property to a resin as well as a magnetic carrier for electrophotographic developers which is improved in durability by enhancing adhesion between a magnetic carrier core material and a coating resin. The magnetic iron oxide particles of the present invention are magnetic iron oxide particles having an average particle diameter of 0.5 to 30 ?m onto which a plurality of granules are bonded, and the magnetic carrier for electrophotographic developers according to the present invention is a magnetic carrier for electrophotographic developers which comprises spherical magnetic composite particles produced by dispersing magnetic iron oxide particles in a binder resin in which the magnetic iron oxide particles comprise at least the above magnetic iron oxide particles having an average particle diameter of 0.5 to 30 ?m onto which the plurality of granules are bonded.
    Type: Grant
    Filed: March 29, 2012
    Date of Patent: September 20, 2016
    Assignee: TODA KOGYO CORPORATION
    Inventors: Shigenori Harada, Shinji Uemoto, Hiromitsu Misawa, Eiichi Kurita, Koso Aoki
  • Patent number: 9448501
    Abstract: A toner for developing an electrostatic image, which contains: resin particles (C), wherein the resin particles (C) each contain a resin particle (B) and resin particles (A) or a coating film (P) deposited on a surface of the resin particle (B), where the resin particle (B) contains a second resin (b) and a filler (f), wherein the resin particles (A) or the coating film (P) contains a first resin (a), wherein the second resin (b) contains a crystalline resin, and wherein the resin particle (B) contains the filler (f) in an amount of 15% by mass or greater.
    Type: Grant
    Filed: February 7, 2013
    Date of Patent: September 20, 2016
    Assignee: Ricoh Company, Ltd.
    Inventors: Ryota Inoue, Yoshitaka Sekiguchi, Hiroaki Katoh, Shun Saito
  • Patent number: 9448502
    Abstract: A charging member including an electro-conductive substrate, an electro-conductive elastic layer, and an electro-conductive surface layer, wherein the elastic layer includes a polymer having a unit derived from ethylene oxide and at least one particle selected from the group consisting of graphite particles and graphitized particles; wherein a particle-exposing portion where the particle is exposed is present on the surface of the elastic layer; the surface of the elastic layer including the particle-exposing portions is coated with the surface layer; the surface layer includes binder resin and resin particles dispersed in the binder resin and has a plurality of protrusions derived from the resin particles on the surface; when the resin particles in the surface layer are orthographically projected onto the surface of the elastic layer, areas other than projected areas of the resin particles on the surface of the elastic layer overlap the particle-exposing portion.
    Type: Grant
    Filed: July 21, 2014
    Date of Patent: September 20, 2016
    Assignee: CANON KABUSHIKI KAISHA
    Inventors: Tomohito Taniguchi, Taichi Sato, Atsushi Uematsu
  • Patent number: 9448503
    Abstract: Disclosed is an image forming apparatus, including: n laser elements arranged in the sub-scanning direction and configured to simultaneously scan an image; a pixel selection unit configured to execute a thinning process in which the pixels are thinned by selecting m pixels among n pixels arranged in the sub-scanning direction, and to output selected pixel information for specifying the selected pixels; a pulse width modulation processing unit configured to sequentially convert image data corresponding to the m pixels into m pulse width modulation signals; a transmission unit configured to transmit the m pulse width modulation signals in parallel; an assignment unit configured to assign the m pulse width modulation signals to m laser elements in accordance with the selected pixel information; and a driving unit configured to drive the laser elements in accordance with the assigned pulse width modulation signals.
    Type: Grant
    Filed: December 30, 2015
    Date of Patent: September 20, 2016
    Assignee: Konica Minolta, Inc.
    Inventors: Takatoshi Hamada, Yuhei Kurigata
  • Patent number: 9448504
    Abstract: A roller (1) is provided, which is free from imaging failures even if being used as a developing roller, for example, in combination with a toner including highly spherical toner particles or a lower-melting-point toner. The roller (1) includes a tubular body made of a crosslinking product of a rubber composition containing a crosslinkable rubber component, and having a heat conductivity of 0.4 to 2.0 W/m·K and a Type-A durometer hardness of 50 to 70.
    Type: Grant
    Filed: January 14, 2016
    Date of Patent: September 20, 2016
    Assignee: SUMITOMO RUBBER INDUSTRIES, LTD.
    Inventor: Hisao Yoshinaga
  • Patent number: 9448505
    Abstract: A developing cartridge may include multiple rotary members, at least one of the rotary members configured to be detected by an image forming apparatus. In one example, the rotary members may include engagement portions configured to engage with one another to rotate the rotary members. Additionally or alternatively, in a case where one rotary member is in a driven position, the other rotary member may be rotatable a predefined amount from a non-driving state in which the other rotary member is rotatably disengaged from the one rotary member, to a driving state in which the other rotary member rotatably engages the one rotary member with the engagement portions in contact with one another.
    Type: Grant
    Filed: April 23, 2015
    Date of Patent: September 20, 2016
    Assignee: Brother Kogyo Kabushiki Kaisha
    Inventor: Takeyuki Takagi
  • Patent number: 9448506
    Abstract: A developer discharge structure includes a tube-shaped container portion disposed in an apparatus body and holding developer, the container portion having an outlet port that allows the developer to be discharged to a recovery container; an opening-closing member disposed in the container portion, opening the outlet port when the recovery container is positioned in an attached position in which the recovery container is attached to the apparatus body, and closing the outlet port when the recovery container is positioned in a detached position in which the recovery container is detached from the apparatus body; and an operating member disposed in the apparatus body and performing a detachment preparation operation, in which a member disposed adjacent to the container portion is prepared for detachment from the apparatus body, to restrict movement of the opening-closing member from a position in which the opening-closing member closes the outlet port.
    Type: Grant
    Filed: October 5, 2015
    Date of Patent: September 20, 2016
    Assignee: FUJI XEROX CO., LTD.
    Inventor: Toyohiko Awano
  • Patent number: 9448507
    Abstract: A nozzle receiver for use with a powder container includes: a shutter to open an opening of the nozzle receiver to an opening position when the shutter is pressed by a conveying nozzle of an image forming apparatus, and to close the opening to a closing position when not being pressed by the conveying nozzle, and that includes a sealing portion for sealing the opening; a supporter to support and guide the shutter between the opening position and the closing position; and a cap to cover the supporter when the shutter is located at the closing position.
    Type: Grant
    Filed: August 21, 2015
    Date of Patent: September 20, 2016
    Assignee: RICOH COMPANY, LTD.
    Inventor: Michiharu Suzuki
  • Patent number: 9448508
    Abstract: A development device includes a developer container, a developer bearing member, a mixing/transporting member, an opening/closing member, and a drive mechanism. The developer container contains a developer. The developer bearing member is rotatably supported by the developer container. The developer bearing member also has a surface facing an image bearing member on which an electrostatic latent image is to be formed. The developer is borne on the surface of the developer bearing member. The mixing/transporting member mixes and transports the developer in the developer container. The opening/closing member opens and closes a developer outlet for discharging an excess of the developer in the developer container. The drive mechanism drives the opening/closing member in association with driving of the developer bearing member or the mixing/transporting member to open the developer outlet.
    Type: Grant
    Filed: December 10, 2013
    Date of Patent: September 20, 2016
    Assignee: KYOCERA Document Solutions Inc.
    Inventors: Naoki Yamane, Lin Lu
  • Patent number: 9448509
    Abstract: A developing device and image forming apparatus are provided. The developing device includes a chassis; a developer carrying member; a thickness regulating member; a supply member; and a conveying member. In a state in which the developing device is attached to a main body an opening of the chassis faces upward; the thickness regulating member pressure-contacts the developer carrying member from below the developer carrying member; the conveying member is disposed below the developer carrying member; the supply member overlaps a portion of the conveying member in a horizontal direction; and a portion of the conveying member is disposed within a vertical projection plane between a pressure-contact position of the thickness regulating member to the developer carrying member, and a contact position of the supply member to the developer carrying member. The image forming apparatus includes a main body; a plurality of photosensitive members; and a plurality of developing devices.
    Type: Grant
    Filed: June 30, 2015
    Date of Patent: September 20, 2016
    Assignee: Brother Kogyo Kabushiki Kaisha
    Inventor: Shougo Sato
  • Patent number: 9448510
    Abstract: An image forming apparatus includes an image carrier, a first guide portion, a developing portion, and a second guide portion. The first guide portion forms a first flow path in which toner removed from the image carrier is flowed downward with respect to a horizontal direction. The developing portion visualizes an electrostatic latent image formed on a surface of the image carrier, with developer including at least toner and carrier. The second guide portion forms a second flow path in which the developer in the developing portion is flowed diagonally downward with respect to the horizontal direction and guided to the first flow path.
    Type: Grant
    Filed: July 22, 2015
    Date of Patent: September 20, 2016
    Assignee: KYOCERA Document Solutions Inc.
    Inventors: Koji Izumi, Hiroyoshi Omura
  • Patent number: 9448511
    Abstract: There is disclosed an intermediate transfer member comprising a belt comprising conductive particles dispersed in a thermoplastic polymer. The thermoplastic polymer is selected from the group consisting of polyimide, polyamideimide, polyetherimide, polycarbonate, polysulfone, polyethersulfone, polyphenylsulfone, polyester, polyphenylsulfide and polyamide. The belt has a welded seam. There is an overcoat disposed over the welded seam comprising a material selected from the group consisting of polyphenylsulfone, polysulfone and polyethersulfone. A method of manufacturing the intermediate transfer member is provided.
    Type: Grant
    Filed: October 15, 2010
    Date of Patent: September 20, 2016
    Assignee: Xerox Corporation
    Inventor: Jin Wu
  • Patent number: 9448512
    Abstract: A drive system includes multiple vibrating members that tend to vibrate when these members are driven and a holding system to hold the multiple vibrating members. The holding system at least includes a first holding unit and a second holding unit superimposed on and partially connected to each other. The multiple vibrating members include a first vibrating member and a second vibrating member. The first vibrating member is held by the first holding unit and is not held by the second holding unit. The second vibrating member is held by the second holding unit and is not held by the first holding unit. At least one of the first vibrating member and the second vibrating member is a driving device to drive another member as a drive source.
    Type: Grant
    Filed: August 20, 2014
    Date of Patent: September 20, 2016
    Assignee: Ricoh Company, Ltd.
    Inventors: Naoki Matsuda, Masahiro Ishida, Jun Yasuda, Shinya Shimizu
  • Patent number: 9448513
    Abstract: An image forming apparatus includes: a recording material accommodating portion configured to accommodate a recording material; an image forming portion configured to form a toner image on the recording material fed from the recording material accommodating portion; a fixing portion configured to heat-fix the toner image formed on the recording material by the image forming portion; a storing portion configured to store information corresponding to the type of the recording material accommodated in the recording material accommodating portion; a detecting portion configured to detect that an operator exists in a predetermined zone in a neighborhood of the image forming apparatus; and an executing portion configured to execute a start-up process of the fixing portion, when the detecting portion detects the existence of the operator before the image forming apparatus receives an image formation instruction, over a time depending on the information stored in the storing portion.
    Type: Grant
    Filed: December 15, 2015
    Date of Patent: September 20, 2016
    Assignee: Canon Kabushiki Kaisha
    Inventor: Daigo Matsuura
  • Patent number: 9448514
    Abstract: An image forming apparatus includes a first heater disposed opposite and heating at least a center of a fixing belt in an axial direction thereof and a second heater disposed opposite and heating at least a lateral end of the fixing belt in the axial direction thereof. A power supply supplies power to the first heater and the second heater. A controller that controls the power supply includes a calculator to calculate an elapsed time elapsed after at least one of the first heater and the second heater starts heating the fixing belt. The controller controls the power supply to supply power to the first heater and the second heater such that a power density of the second heater is greater than a power density of the first heater when the elapsed time calculated by the calculator is smaller than a predetermined time.
    Type: Grant
    Filed: December 9, 2014
    Date of Patent: September 20, 2016
    Assignee: Ricoh Company, Ltd.
    Inventors: Yutaka Ikebuchi, Hiroshi Yoshinaga, Takayuki Seki, Yuji Arai, Ryuuichi Mimbu, Shuntaro Tamaki, Kazuya Saito, Shuutaroh Yuasa, Toshihiko Shimokawa, Masaaki Yoshikawa, Toshiharu Hachisuka
  • Patent number: 9448515
    Abstract: A fixing device fixes a toner to a recording medium. The fixing device includes a rotary member, a bearing, and a bearing holder. The rotary member is rotatable about an axis of rotation of the rotary member. The bearing is disposed around an end part of the rotary member. The bearing holder holds the bearing. The bearing has an outer circumferential surface with a flat portion and a bearing groove. The bearing groove has a bottom surface, a first side surface, and a second side surface. The first side surface of the bearing groove is capable of being in contact with the bearing holder. The second side surface of the bearing groove is capable of being in contact with the bearing holder. The bearing holder is engaged with the bearing groove such as to be in contact with the first and second side surfaces of the bearing groove.
    Type: Grant
    Filed: April 13, 2015
    Date of Patent: September 20, 2016
    Assignee: KYOCERA Document Solutions Inc.
    Inventors: Tomohiko Yamakawa, Masaru Takagi