Patents Issued in May 7, 2024
  • Patent number: 11978633
    Abstract: Method of doping a semiconductor sample in a uniform and carbon-free way, wherein said sample has a surface, comprising the following steps: A. removing oxides from at least part of the said surface; B. dip coating said at least part of the surface of the sample in a dopant based carbon-free solution of at least one dopant based carbon free substance diluted in water, wherein said at least one dopant based carbon free substance has a molecule comprising at least one dopant atom, wherein the dip coating is achieved by heating said dopant based carbon-free solution at a dip coating temperature from 65% to 100% of the boiling temperature of said dopant based carbon-free solution, thereby a self-assembled mono-layer including dopant atoms is formed; C. annealing said sample, wherein the annealing is configured to cause said dopant atoms included in said self-assembled mono-layer to be diffused into the sample.
    Type: Grant
    Filed: May 8, 2020
    Date of Patent: May 7, 2024
    Assignee: Consiglio Nazionale Delle Ricerche
    Inventors: Rosaria Anna Puglisi, Sebastiano Caccamo
  • Patent number: 11978634
    Abstract: A method of forming a semiconductor device includes performing a first implantation process on a semiconductor substrate to form a deep p-well region, performing a second implantation process on the semiconductor substrate with a diffusion-retarding element to form a co-implantation region, and performing a third implantation process on the semiconductor substrate to form a shallow p-well region over the deep p-well region. The co-implantation region is spaced apart from a top surface of the semiconductor substrate by a portion of the shallow p-well region, and the dee p-well region and the shallow p-well region are joined with each other. An n-type Fin Field-Effect Transistor (FinFET) is formed, with the deep p-well region and the shallow p-well region acting as a well region of the n-type FinFET.
    Type: Grant
    Filed: May 25, 2022
    Date of Patent: May 7, 2024
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Sih-Jie Liu, Chun-Feng Nieh, Huicheng Chang
  • Patent number: 11978635
    Abstract: Methods for forming silicide films are disclosed. Methods of selectively depositing metal-containing films on silicon surfaces which are further processed to form silicide films are disclosed. Specific embodiments of the disclosure relate to the formation of silicide films on FinFET structures without the formation of a metal layer on the dielectric.
    Type: Grant
    Filed: March 10, 2021
    Date of Patent: May 7, 2024
    Assignee: Applied Materials, Inc.
    Inventors: Swaminathan Srinivasan, Abhijit Basu Mallick, Nicolas Breil
  • Patent number: 11978636
    Abstract: Embodiments of the present application provide a method for processing a semiconductor structure and a method for forming a semiconductor structure. The method for processing a semiconductor structure includes: providing a semiconductor substrate, the semiconductor substrate being provided with a feature portion, the aspect ratio of the feature portion being greater than a preset aspect ratio, a mask layer being provided on the top of the feature portion; ashing a semiconductor structure, the semiconductor structure comprising the semiconductor substrate, the feature portion, and the mask layer; cleaning the semiconductor structure; drying the semiconductor structure; and removing the mask layer.
    Type: Grant
    Filed: May 24, 2021
    Date of Patent: May 7, 2024
    Assignee: CHANGXIN MEMORY TECHNOLOGIES, INC.
    Inventor: Ning Xi
  • Patent number: 11978637
    Abstract: The present disclosure provides a manufacturing method for semiconductor structure and a semiconductor structure. The manufacturing method includes: providing a substrate; forming first mask patterns and first mask openings on the substrate, the first mask opening being located between the adjacent first mask patterns; forming second mask patterns and second mask openings on the first mask patterns and the first mask openings, the second mask opening being located between the adjacent second mask patterns; and forming first patterns and first openings on the substrate based on the first mask patterns, the first mask openings, the second mask patterns and the second mask openings.
    Type: Grant
    Filed: May 24, 2021
    Date of Patent: May 7, 2024
    Assignee: CHANGXIN MEMORY TECHNOLOGIES, INC.
    Inventor: Enhao Chen
  • Patent number: 11978638
    Abstract: A method for forming a semiconductor structure forming a blocking structure in the periphery region over the bottom layer. The method includes covering the middle layer over the bottom layer and the blocking structure. The method includes forming a patterned photoresist layer over the middle layer. The patterned photoresist layer is in the array region and directly over the blocking structure in the periphery region. The method includes transferring the pattern of the patterned photoresist layer to the bottom layer. The pattern of the patterned photoresist layer directly over the blocking structure is not formed in the bottom layer. The first portion of the substrate is in the array region and is an active area array. The second portion of the substrate is in the periphery region and is a guard ring. The third portion of the substrate is in the periphery region and is a periphery structure.
    Type: Grant
    Filed: January 14, 2022
    Date of Patent: May 7, 2024
    Assignee: WINBOND ELECTRONICS CORP.
    Inventor: Chang-Hung Lin
  • Patent number: 11978639
    Abstract: First lithography and etching are carried out on a semiconductor structure to provide a first intermediate semiconductor structure having a first set of surface features corresponding to a first portion of desired fin formation mandrels. Second lithography and etching are carried out on the first intermediate structure, using a second mask, to provide a second intermediate semiconductor structure having a second set of surface features corresponding to a second portion of the mandrels. The second set of surface features are unequally spaced from the first set of surface features and/or the features have different pitch. The fin formation mandrels are formed in the second intermediate semiconductor structure using the first and second sets of surface features; spacer material is deposited over the mandrels and is etched back to form a third intermediate semiconductor structure having a fin pattern. Etching is carried out on same to produce the fin pattern.
    Type: Grant
    Filed: May 23, 2023
    Date of Patent: May 7, 2024
    Assignee: Tessera LLC
    Inventors: Fee Li Lie, Dongbing Shao, Robert C. Wong, Yongan Xu
  • Patent number: 11978640
    Abstract: In a method of forming a pattern over a semiconductor substrate, a target layer to be patterned is formed over a substrate, a mask pattern including an opening is formed in a mask layer, a shifting film is formed in an inner sidewall of the opening, a one-directional etching operation is performed to remove a part of the shifting film and a part of the mask layer to form a shifted opening, and the target layer is patterned by using the mask layer with the shifted opening as an etching mask. A location of the shifted opening is laterally shifted from an original location of the opening.
    Type: Grant
    Filed: April 9, 2021
    Date of Patent: May 7, 2024
    Inventors: Yi-Chen Lo, Yi-Shan Chen, Chih-Kai Yang, Pinyen Lin
  • Patent number: 11978641
    Abstract: A method for manufacturing a semiconductor structure includes: forming a semiconductor device on a main region of the device substrate, the device substrate having a peripheral region surrounding the main region; forming a first filling layer on the peripheral region of the device substrate; forming a second filling layer over the first filling layer and the semiconductor device after forming the first filling layer, the second filling layer having a polishing rate different from that of the first filling layer; performing a planarization process over the second filling layer to remove a portion of the second filling layer so that a remaining portion of the second filling layer has a planarized surface opposite to the device substrate; and bonding the device substrate to a carrier substrate through the first filling layer and the remaining portion of the second filling layer.
    Type: Grant
    Filed: June 6, 2022
    Date of Patent: May 7, 2024
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Pei-Yu Chou, Yen-Yu Chen, Meng-Ku Chen, Shiang-Bau Wang, Tze-Liang Lee
  • Patent number: 11978642
    Abstract: A method for producing a plastic element provided with fine surface roughness is provided. In the method, etching of a surface of the plastic element is performed separately in a first step and in a second step, in the first step, fine roughness having a predetermined average value of pitch in the range from 0.05 to 1 micrometer is generated on the surface through reactive ion etching in an atmosphere of a first gas; and in the second step, an average value of depth of the fine roughness generated in the first step is adjusted to a predetermined value in the range from 0.15 to 1.5 micrometers while the predetermined average value of pitch is substantially maintained through reactive ion etching in an atmosphere of a second gas, reactivity to the plastic element of the second gas being lower than reactivity to the plastic element of the first gas.
    Type: Grant
    Filed: September 27, 2021
    Date of Patent: May 7, 2024
    Assignee: NALUX CO., LTD.
    Inventors: Kenji Tanibe, Kazuya Yamamoto
  • Patent number: 11978643
    Abstract: Method for manufacturing a semiconductor device includes: forming a first area and a second area of a peripheral area on a substrate; forming a first lamination structure in the first area, and forming a second lamination structure in an array area and the second area; performing thermal treatment on the substrate so that atoms in a work function layer are diffused into a second dielectric layer, and an interface interaction occurs between the second dielectric layer and a first dielectric layer; removing the first lamination structure to the second dielectric layer, and removing the second lamination structure to the second dielectric layer; forming a fourth barrier layer and a second conductive layer, a content ratio of metallic element to non-metallic element in a first barrier layer being less than a content ratio of metallic element to non-metallic element in a second barrier layer and a third barrier layer.
    Type: Grant
    Filed: June 21, 2022
    Date of Patent: May 7, 2024
    Assignee: CHANGXIN MEMORY TECHNOLOGIES, INC.
    Inventors: Xiaojie Li, Dahan Qian
  • Patent number: 11978644
    Abstract: A substrate processing system includes: a batch-type processing part that collectively processes a lot including substrates arranged at a first pitch; a single-substrate-type processing part that processes the substrates of the lot one by one; and an interface part that delivers the substrates between the batch-type processing part and the single-substrate-type processing part. The batch-type processing part includes a processing bath that stores a processing solution having a lump shape or a mist shape, a first holder that holds the substrates arranged at the first pitch, and a second holder that receives the substrates arranged at a second pitch from the first holder in the processing solution. The interface part includes a transfer part that transfers the substrates held separately by the first and second holders in the processing solution, from the batch-type processing part to the single-substrate-type processing part.
    Type: Grant
    Filed: August 12, 2022
    Date of Patent: May 7, 2024
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Kouzou Kanagawa, Kotaro Tsurusaki, Keiji Onzuka, Yoshihiro Kai
  • Patent number: 11978645
    Abstract: A control unit of a laser processing apparatus includes: a reference image storage section that images streets before formation of modified layers by an imaging unit and stores the captured image as a reference image; a calculation section that compares the reference image stored in the reference image storage section with an image of a wafer held by a chuck table that is captured by the imaging unit, and calculates the degree of agreement of the two images; and a decision section that decides whether the wafer is an unprocessed wafer not formed with the modified layers in the case where the degree of agreement calculated by the calculation section is more than a first predetermined value, and decides whether the wafer is a processed wafer formed with the modified layers in the case where the degree of agreement is equal to or less than a second predetermined value.
    Type: Grant
    Filed: July 28, 2020
    Date of Patent: May 7, 2024
    Assignee: DISCO CORPORATION
    Inventor: Masaru Nakamura
  • Patent number: 11978646
    Abstract: Embodiments of the disclosure generally relate to a semiconductor processing chamber. In one embodiment, semiconductor processing chamber is disclosed and includes a chamber body having a bottom and a sidewall defining an interior volume, the sidewall having a substrate transfer port formed therein, and one or more absorber bodies positioned in the interior volume in a position opposite of the substrate transfer port.
    Type: Grant
    Filed: May 17, 2018
    Date of Patent: May 7, 2024
    Assignee: Applied Materials, Inc.
    Inventors: Dongming Iu, Kartik Shah, Norman L. Tam, Matthew Spuller, Jau-Jiun Chen, Kong Lung Samuel Chan, Elizabeth Neville, Preetham Rao, Abhilash J. Mayur, Gia Pham
  • Patent number: 11978647
    Abstract: Embodiments disclosed herein include a method of calibrating a processing chamber. In an embodiment, the method comprises placing a sensor wafer onto a support surface in the processing chamber, wherein a process kit displaceable in the Z-direction is positioned around the support surface. In an embodiment, the method further comprises measuring a first gap distance between the sensor wafer and the process kit with a sensor on an edge surface of the sensor wafer. In an embodiment, the method further comprises displacing the process kit in the Z-direction. In an embodiment, the method further comprises measuring an additional gap distance between the sensor wafer and the process kit.
    Type: Grant
    Filed: November 3, 2022
    Date of Patent: May 7, 2024
    Assignee: Applied Materials, Inc.
    Inventors: Charles G Potter, Eli Mor, Sergio Lopez Carbajal
  • Patent number: 11978648
    Abstract: A substrate transport system includes a carrier having a housing forming an interior environment having an opening for holding at least one substrate and a door for sealing the opening from an outside atmosphere where when sealed the interior environment is configured to maintain an interior atmosphere therein, the housing including a fluid reservoir exterior to the interior environment and configured to contain a fluid, forming a different atmosphere in the fluid reservoir than the interior atmosphere, to form a fluidic barrier seal that seals the interior environment from an environment exterior to the carrier.
    Type: Grant
    Filed: May 23, 2023
    Date of Patent: May 7, 2024
    Assignee: Brooks Automation US, LLC
    Inventors: Daniel Babbs, Robert T Caveney, Robert C May, Krzysztof A Majczak
  • Patent number: 11978649
    Abstract: A substrate processing apparatus including a frame, a first SCARA arm connected to the frame, including an end effector, configured to extend and retract along a first radial axis; a second SCARA arm connected to the frame, including an end effector, configured to extend and retract along a second radial axis, the SCARA arms having a common shoulder axis of rotation; and a drive section coupled to the SCARA arms is configured to independently extend each SCARA arm along a respective radial axis and rotate each SCARA arm about the common shoulder axis of rotation where the first radial axis is angled relative to the second radial axis and the end effector of a respective arm is aligned with a respective radial axis, wherein each end effector is configured to hold at least one substrate and the end effectors are located on a common transfer plane.
    Type: Grant
    Filed: December 7, 2021
    Date of Patent: May 7, 2024
    Assignee: Brooks Automation US, LLC
    Inventors: Robert T. Caveney, Jayaraman Krishnasamy, Ulysses Gilchrist, Mitchell Drew, Jairo Moura
  • Patent number: 11978650
    Abstract: The present disclosure relates to an apparatus for transferring a light emitting diode (LED). The apparatus for transferring an LED includes: a pick-up unit configured to pick up at least some of multiple light emitting diodes (LEDs) arranged on one substrate, and, according to a received control signal, put down LEDs selected from among the picked-up LEDs on another substrate; and a controller configured to transmit the control signal to the pick-up unit so as to enable the pick-up unit to individually pick up or put down each of the multiple LEDs.
    Type: Grant
    Filed: September 21, 2020
    Date of Patent: May 7, 2024
    Assignee: LG Display Co., Ltd.
    Inventors: Seong Kyong Park, Tae Il Jung, Il Soo Kim, Jae Yong Choi
  • Patent number: 11978651
    Abstract: A transport apparatus including a drive section connected to a frame and including a multi-drive shaft spindle, with at least one coaxial shaft spindle, more than one different interchangeable motor module arranged in a stack, each having a motor operably coupled thereto and defining a corresponding independent drive axis, and a can seal disposed between the stator and rotor of each motor module and hermetically sealing the stator and rotor from each other, at least one of the motor modules is selectable for placement in the stack from other different interchangeable motor modules, each having a different predetermined characteristic, independent of placement in the stack, that defines a different predetermined drive characteristic of the corresponding drive axis, independent of shaft spindle location, so that selection of the at least one motor module determines the different predetermined drive characteristic of the corresponding axis different from another of the independent drive axis.
    Type: Grant
    Filed: March 15, 2022
    Date of Patent: May 7, 2024
    Assignee: Brooks Automation US, LLC
    Inventor: Robert T. Caveney
  • Patent number: 11978652
    Abstract: A buffer station for automatic material handling system can provide throughput improvement. Further, by storing to-be-accessed workpieces in the buffer stations of an equipment, the operation of the facility is not interrupted when the equipment is down. The buffer station can be incorporated in a stocker, such as bare wafer stocker.
    Type: Grant
    Filed: November 29, 2022
    Date of Patent: May 7, 2024
    Assignee: Brooks Automation (Germany) GmbH
    Inventor: Lutz Rebstock
  • Patent number: 11978653
    Abstract: In an embodiment, a wafer pod includes: a cavity configured to receive and store a wafer; an alignment fiducial within the cavity, wherein: the alignment fiducial comprises two lines orthogonal to each other, and the alignment fiducial is configured to be detected by a robotic arm alignment sensor disposed on a robotic arm, wherein the alignment fiducial defines an alignment orientation for a robotic arm gripper hand to enter into the cavity.
    Type: Grant
    Filed: August 8, 2022
    Date of Patent: May 7, 2024
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventor: Chao-Hsiang Liu
  • Patent number: 11978654
    Abstract: The present invention relates to a substrate processing apparatus capable of shortening a process time, and the substrate processing apparatus according to the present invention comprises an index chamber having a transfer robot loading/unloading a substrate; a process chamber having a heating means heating the substrate and processing the substrate; a loadlock chamber disposed between the index chamber and the process chamber; and a conveying chamber having a conveying robot conveying the substrate between the process chamber and the loadlock chamber, wherein a pre-heating means is provided in the conveying robot to pre-heat the substrate in a state before processing.
    Type: Grant
    Filed: October 28, 2020
    Date of Patent: May 7, 2024
    Assignee: SEMES CO., LTD.
    Inventors: Min Sung Han, Wan Jae Park, Yoon Jong Ju, Jaehoo Lee
  • Patent number: 11978655
    Abstract: A substrate transfer mechanism includes: an arm base main body provided with a first driver; a lift configured to move up and down the arm base main body; a first arm extending transversely from a lower side of the arm base main body, and having a tip end that pivots around a vertical axis with respect to the arm base main body by the first driver; a second arm extending transversely from an upper side of the tip end of the first arm, and having a tip end that pivots around a vertical axis with respect to the first arm along with the pivoting of the first arm; and a substrate holder provided on an upper side of the tip end of the second arm, and configured to rotate around a vertical axis with respect to the second arm.
    Type: Grant
    Filed: May 24, 2023
    Date of Patent: May 7, 2024
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Kousei Ide, Naruaki Iida
  • Patent number: 11978656
    Abstract: Semiconductor devices are described that have a metal interconnect extending vertically through a portion of the device to the back side of a semiconductor substrate. A top region of the metal interconnect is located vertically below a horizontal plane containing a metal routing layer. Method of fabricating the semiconductor device can include etching a via into a semiconductor substrate, filling the via with a metal material, forming a metal routing layer subsequent to filling the via, and removing a portion of a bottom of the semiconductor substrate to expose a bottom region of the metal filled via.
    Type: Grant
    Filed: August 3, 2020
    Date of Patent: May 7, 2024
    Assignee: Micron Technology, Inc.
    Inventors: Kyle K. Kirby, Kunal R. Parekh
  • Patent number: 11978657
    Abstract: Disclosed herein are methods for manufacturing IC components using bottom-up fill of openings with a dielectric material. In one aspect, an exemplary method includes, first, depositing a solid dielectric liner on the inner surfaces of the openings using a non-flowable process, and subsequently filling the remaining empty volume of the openings with a fill dielectric using a flowable process. Such a combination method may maximize the individual strengths of the non-flowable and flowable processes due to the synergetic effect achieved by their combined use, while reducing their respective drawbacks. Assemblies and devices manufactured using such methods are disclosed as well.
    Type: Grant
    Filed: September 28, 2017
    Date of Patent: May 7, 2024
    Assignee: Intel Corporation
    Inventors: Ebony L. Mays, Bruce J. Tufts
  • Patent number: 11978658
    Abstract: A method for manufacturing a polysilicon SOI substrate including a cavity. The method includes: providing a silicon substrate including a sacrificial layer thereon; producing a first polysilicon layer on the sacrificial layer; depositing a structuring layer on the first polysilicon layer; introducing trenches through the structuring layer, the first polysilicon layer, and the sacrificial layer up to the silicon substrate; producing a cavity in the silicon substrate by etching, an etching medium being conducted thereto through the trenches; producing a second polysilicon layer on the first polysilicon layer, the trenches being thereby closed. A micromechanical device is also described.
    Type: Grant
    Filed: November 22, 2021
    Date of Patent: May 7, 2024
    Assignee: ROBERT BOSCH GMBH
    Inventors: Jochen Reinmuth, Peter Schmollngruber
  • Patent number: 11978659
    Abstract: The present disclosure relates to a radio frequency (RF) device including a device substrate, a thinned device die with a device region over the device substrate, a first mold compound, and a second mold compound. The device region includes an isolation portion, a back-end-of-line (BEOL) portion, and a front-end-of-line (FEOL) portion with a contact layer and an active section. The contact layer resides over the BEOL portion, the active section resides over the contact layer, and the isolation portion resides over the contact layer to encapsulate the active section. The first mold compound resides over the device substrate, surrounds the thinned device die, and extends vertically beyond the thinned device die to define an opening over the thinned device die and within the first mold compound. The second mold compound fills the opening and directly connects the isolation portion of the thinned device die.
    Type: Grant
    Filed: April 22, 2019
    Date of Patent: May 7, 2024
    Assignee: Qorvo US, Inc.
    Inventors: Julio C. Costa, Michael Carroll
  • Patent number: 11978660
    Abstract: According to one embodiment, an original plate for imprint lithography has a first surface side having a patterned portion thereon. The patterned portion includes a groove having a bottom surface recessed from a first surface to a first depth, and a columnar portion on the bottom surface and protruding from the bottom surface to extend beyond the first surface. The original plate may be used to form replica templates by imprint lithography processes. The replica templates can be used in semiconductor device manufacturing processes or the like.
    Type: Grant
    Filed: June 7, 2023
    Date of Patent: May 7, 2024
    Assignee: Kioxia Corporation
    Inventor: Hirotaka Tsuda
  • Patent number: 11978661
    Abstract: Disclosed is a structure with ultralow-K (ULK) dielectric-gap wrapped contact(s). The structure includes an opening, which extends through a dielectric layer and is aligned above a device. A contact is within the opening and electrically connected to the device. Instead of the contact completely filling the opening, a ULK dielectric-gap (e.g., an air or gas-filled gap or a void) at least partially separates the contact from the sidewall(s) of the contact opening and further wraps laterally around the contact. Also disclosed is a method for forming the structure and, particularly, for forming a ULK dielectric-gap by etching back an exposed top end of an adhesive layer initially lining a contact opening to form a gap between the sidewall(s) of the opening and the contact and then capping the gap with an additional dielectric layer such that the gap is filled with air or gas or is under vacuum.
    Type: Grant
    Filed: December 11, 2020
    Date of Patent: May 7, 2024
    Assignee: GlobalFoundries U.S. Inc.
    Inventors: Fuad H. Al-Amoody, Felix P. Anderson, Spencer H. Porter, Mark D. Levy, Siva P. Adusumilli
  • Patent number: 11978662
    Abstract: A method for preparing a semiconductor device, includes: forming a first dielectric structure and a second dielectric structure over a semiconductor substrate; forming a conductive material over the first dielectric structure and the second dielectric structure, wherein the conductive material extends into a first opening between the first dielectric structure and the second dielectric structure; partially removing the conductive material to form a first bit line and a second bit line in the first opening; forming a first capacitor contact and a second capacitor contact in the first dielectric structure and the second dielectric structure, respectively; forming a sealing dielectric layer over the first bit line and the second bit line such that an air gap is formed between the sealing dielectric layer and the semiconductor substrate; and forming a first capacitor and a second capacitor over the first capacitor contact and the second capacitor contact, respectively.
    Type: Grant
    Filed: August 11, 2023
    Date of Patent: May 7, 2024
    Assignee: NANYA TECHNOLOGY CORPORATION
    Inventor: Liang-Pin Chou
  • Patent number: 11978663
    Abstract: A semiconductor structure includes a first dielectric layer, a first metallic feature over the first dielectric layer, an air gap over the first dielectric layer and adjacent to the first metallic feature, a second dielectric layer disposed above the air gap and on a sidewall of the first metallic feature, and a third dielectric layer disposed above the air gap and on a sidewall of the second dielectric layer. A lower portion of the first metallic feature is exposed in the air gap. The third and the second dielectric layers are substantially co-planar.
    Type: Grant
    Filed: March 13, 2023
    Date of Patent: May 7, 2024
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Chin-Lung Chung, Shin-Yi Yang, Ming-Han Lee
  • Patent number: 11978664
    Abstract: A method includes forming a first conductive feature over a semiconductor substrate, forming an ILD layer over the first conductive feature, patterning the ILD layer to form a trench, and forming a conductive layer over the patterned ILD layer to fill the trench. The method further includes polishing the conductive layer to form a via contact configured to interconnect the first conductive feature with a second conductive feature, where polishing the conductive layer exposes a top surface of the ILD layer, polishing the exposed top surface of the ILD layer, such that a top portion of the via contact protrudes from the exposed top surface of the ILD layer, and forming the second conductive feature over the via contact, such that the top portion of the via contact extends into the second conductive feature.
    Type: Grant
    Filed: July 29, 2022
    Date of Patent: May 7, 2024
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Pang-Sheng Chang, Chao-Hsun Wang, Kuo-Yi Chao, Fu-Kai Yang, Mei-Yun Wang, Li-Chieh Wu, Chun-Wei Hsu
  • Patent number: 11978665
    Abstract: A semiconductor manufacturing method includes forming a concave portion in a layer provided above a substrate from a top surface of the layer downwards, the layer including an insulation layer at least partially. The method includes forming a silicon film on an inner surface of the concave portion. The method includes exposing the silicon film to a raw material gas of metal and an inhibitor gas that inhibits growth of the metal at a first temperature, to replace a first portion of the silicon film located in an upper-end side portion of the concave portion with a first conductive film containing the metal. The method includes exposing the silicon film to the raw material gas and the inhibitor gas at a second temperature lower than the first temperature, to replace a second portion of the silicon film with a second conductive film containing the metal.
    Type: Grant
    Filed: September 8, 2021
    Date of Patent: May 7, 2024
    Assignee: Kioxia Corporation
    Inventor: Kenichi Ide
  • Patent number: 11978666
    Abstract: Provided herein are methods of depositing low stress and void free metal films in deep features and related apparatus. Embodiments of the methods include treating the sidewalls of the holes to inhibit metal deposition while leaving the feature bottom untreated. In subsequent deposition operations, metal precursor molecules diffuse to the feature bottom for deposition. The process is repeated with subsequent inhibition operations treating the remaining exposed sidewalls. By repeating inhibition and deposition operations, high quality void free fill can be achieved. This allows high temperature, low stress deposition to be performed.
    Type: Grant
    Filed: December 5, 2019
    Date of Patent: May 7, 2024
    Assignee: Lam Research Corporation
    Inventors: Anand Chandrashekar, Tsung-Han Yang
  • Patent number: 11978667
    Abstract: Some examples of the present disclosure provide a method for manufacturing a wire layer. The method for manufacturing a wire layer includes steps in which a wafer having an opening is provided; conductive grains are deposited on the wafer, and on a bottom and a side wall of the opening to form a conductive film, during which a temperature of a surface of the wafer is lower than a flowing temperature of the conductive film, and when the temperature of the surface of the wafer is greater than or equal to the flowing temperature, the conductive film starting to flow; and after the conductive film is formed, the temperature of the surface of the wafer is elevated to perform a reflowing process, such that the conductive film is converted to a conductive layer filling up the opening.
    Type: Grant
    Filed: August 12, 2021
    Date of Patent: May 7, 2024
    Assignee: CHANGXIN MEMORY TECHNOLOGIES, INC.
    Inventor: Kaixuan Li
  • Patent number: 11978668
    Abstract: Integrated circuit devices including a via and methods of forming the same are provided. The methods may include forming a conductive wire structure on a substrate. The conductive wire structure may include a first insulating layer and a conductive wire stack in the first insulating layer, and the conductive wire stack may include a conductive wire and a mask layer stacked on the substrate. The method may also include forming a recess in the first insulating layer by removing the mask layer, the recess exposing the conductive wire, forming an etch stop layer and then a second insulating layer on the first insulating layer and in the recess of the first insulating layer, and forming a conductive via extending through the second insulating layer and the etch stop layer and contacting the conductive wire.
    Type: Grant
    Filed: December 9, 2021
    Date of Patent: May 7, 2024
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Ming He, Harsono Simka, Anthony Dongick Lee, Seowoo Nam, Sang Hoon Ahn
  • Patent number: 11978669
    Abstract: The present disclosure provides a semiconductor structure. The structure includes a semiconductor substrate, a gate stack over a first portion of a top surface of the semiconductor substrate; and a laminated dielectric layer over at least a portion of a top surface of the gate stack. The laminated dielectric layer includes at least a first sublayer and a second sublayer. The first sublayer is formed of a material having a dielectric constant lower than a dielectric constant of a material used to form the second sublayer and the material used to form the second sublayer has an etch selectivity higher than an etch selectivity of the material used to form the first sublayer.
    Type: Grant
    Filed: January 4, 2022
    Date of Patent: May 7, 2024
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chia-Lin Chuang, Chia-Hao Chang, Sheng-Tsung Wang, Lin-Yu Huang, Tien-Lu Lin, Yu-Ming Lin, Chih-Hao Wang
  • Patent number: 11978670
    Abstract: A method includes using a second hard mask layer over a gate stack to protect the gate electrode during etching a self-aligned contact. The second hard mask is formed over a first hard mask layer, where the first hard mask layer has a lower etch selectivity than the second hard mask layer.
    Type: Grant
    Filed: April 11, 2022
    Date of Patent: May 7, 2024
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventor: Yu-Lien Huang
  • Patent number: 11978671
    Abstract: A process can be used to allow processing of thin layers of a workpiece including dies. The workpiece can include a base substrate and a plurality of layers overlying the base substrate. The process can include forming a polymer support layer over the plurality of layers; thinning or removing the base substrate within a component region of the workpiece, wherein the component region includes an electronic device; and singulating the workpiece into a plurality of dies after thinning or removing the base substrate. In another aspect, an electronic device can be formed using such process. In an embodiment, the workpiece may have a size corresponding to a semiconductor wafer to allow wafer-level, as opposed to die-level, processing.
    Type: Grant
    Filed: May 18, 2022
    Date of Patent: May 7, 2024
    Assignee: SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC
    Inventor: Gordon M. Grivna
  • Patent number: 11978672
    Abstract: A semiconductor device includes a semiconductor substrate, a source/drain region, a source/drain contact and a conductive via and a first polymer layer. The source/drain region is in the semiconductor substrate. The source/drain contact is over the source/drain region. The conductive via is over the source/drain contact. From a top view, the conductive via has two opposite long sides and two opposite short sides connecting the long sides, and the short sides are shorter than the long sides and more curved than the long sides.
    Type: Grant
    Filed: August 10, 2022
    Date of Patent: May 7, 2024
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Po-Chin Chang, Li-Te Lin, Pinyen Lin
  • Patent number: 11978673
    Abstract: Disclosed is a semiconductor device fabrication method including forming an interlayer dielectric layer and a lower mask layer on a substrate, forming on the lower mask layer first and second upper mask patterns spaced apart from each other in a first direction, wherein each of the first and second upper mask patterns has a line part extending in a second direction and a first protruding part protruding from the line part, forming a spacer covering sidewalls of the line parts of the first and second upper mask patterns and a filling pattern filling a space between the first protruding parts of the first and second upper mask patterns, etching the lower mask layer to form lower mask patterns, etching the interlayer dielectric layer to form grooves on the interlayer dielectric layer, and forming wiring lines in the grooves.
    Type: Grant
    Filed: November 30, 2021
    Date of Patent: May 7, 2024
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Kyoungwoo Lee, Minkwon Choi
  • Patent number: 11978674
    Abstract: A semiconductor device structure is provided. The semiconductor device structure includes a first source/drain epitaxial feature formed over a substrate, a second source/drain epitaxial feature formed over the substrate, two or more semiconductor layers disposed between the first source/drain epitaxial feature and the second source/drain epitaxial feature, a gate electrode layer surrounding a portion of one of the two or more semiconductor layers, a first dielectric region disposed in the substrate and in contact with a first side of the first source/drain epitaxial feature, and a second dielectric region disposed in the substrate and in contact with a first side of the second source/drain epitaxial feature, the second dielectric region being separated from the first dielectric region by a substrate.
    Type: Grant
    Filed: October 8, 2021
    Date of Patent: May 7, 2024
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: I-Ming Chang, Jung-Hung Chang, Chung-Liang Cheng, Hsiang-Pi Chang, Yao-Sheng Huang, Huang-Lin Chao
  • Patent number: 11978675
    Abstract: A semiconductor device includes a gate structure disposed over a channel region, and a source/drain region. The gate structure includes a gate dielectric layer over the channel region, a first work function adjustment layer, over the gate dielectric layer, a first shield layer over the first work function adjustment layer, a first barrier layer, and a metal gate electrode layer. The first work function adjustment layer is made up of n-type work function adjustment layer and includes aluminum. The first shield layer is made of at least one selected from the group consisting of metal, metal nitride, metal carbide, silicide, a layer containing one or more of F, Ga, In, Zr, Mn and Sn, and an aluminum containing layer having a lower aluminum concentration than the first work function adjustment layer.
    Type: Grant
    Filed: November 22, 2021
    Date of Patent: May 7, 2024
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Chandrashekhar Prakash Savant, Chia-Ming Tsai, Ming-Te Chen, Tien-Wei Yu
  • Patent number: 11978676
    Abstract: A device includes a first semiconductor fin extending from a substrate, a second semiconductor fin extending from the substrate, a dielectric fin over the substrate, a first isolation region between the first semiconductor fin and the dielectric fin, and a second isolation region between the first semiconductor fin and the second semiconductor fin. The first semiconductor fin is disposed between the second semiconductor fin and the dielectric fin. The first isolation region has a first concentration of an impurity. The second isolation region has a second concentration of the impurity. The second concentration is less than the first concentration. A top surface of the second isolation region is disposed closer to the substrate than a top surface of the first isolation region.
    Type: Grant
    Filed: February 7, 2022
    Date of Patent: May 7, 2024
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Szu-Ying Chen, Po-Kang Ho, Sen-Hong Syue, Huicheng Chang, Yee-Chia Yeo
  • Patent number: 11978677
    Abstract: In an embodiment, a method includes: placing a wafer on an implanter platen, the wafer including alignment marks; measuring a position of the wafer by measuring positions of the alignment marks with one or more cameras; determining an angular displacement between the position of the wafer and a reference position of the wafer; and rotating the implanter platen by the angular displacement.
    Type: Grant
    Filed: August 27, 2021
    Date of Patent: May 7, 2024
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Chia-Cheng Chen, Chih-Kai Yang, Liang-Yin Chen, Huicheng Chang, Yee-Chia Yeo
  • Patent number: 11978678
    Abstract: A display device includes a first substrate, a light-emitting element, a light conversion layer, and a color filter layer. The light-emitting element is disposed on the first substrate. The light conversion layer is disposed on the light-emitting element. In addition, the color filter layer is overlapped the light-emitting element and the light conversion layer.
    Type: Grant
    Filed: August 5, 2022
    Date of Patent: May 7, 2024
    Assignee: INNOLUX CORPORATION
    Inventors: Tung-Kai Liu, Tsau-Hua Hsieh, Wei-Cheng Chu, Chun-Hsien Lin, Chandra Lius, Ting-Kai Hung, Kuan-Feng Lee, Ming-Chang Lin, Tzu-Min Yan, Hui-Chieh Wang
  • Patent number: 11978679
    Abstract: A device used for semiconductor metrology includes a substrate and a plurality of pieces from one or more semiconductor wafers. Each piece of the plurality of pieces is bonded to the substrate at a respective position on the substrate. Each piece of the plurality of pieces includes a respective instance of a measurement test structure and an alignment mark. Each piece of the plurality of pieces has a known location from the one or more semiconductor wafers.
    Type: Grant
    Filed: May 3, 2021
    Date of Patent: May 7, 2024
    Assignee: KLA Corporation
    Inventor: Chen Dror
  • Patent number: 11978680
    Abstract: A microelectronic chip device includes a semiconductor substrate and multiple on-chip strain sensors (OCSSs) constructed on the substrate at various locations of the substrate. The OCSSs may each include multiple piezoresistive devices configured to sense a strain at a location of the various locations and produce a strain signal representing the strain at that location. A strain measurement circuit may also be constructed on the semiconductor substrate and configured to measure strain parameters from the strain signals produced by the OCSSs. The strain parameters represent the strains at the various location. Values of the strain parameters can be used for analysis of mechanical stress on the chip device.
    Type: Grant
    Filed: November 24, 2021
    Date of Patent: May 7, 2024
    Assignee: Micron Technology, Inc.
    Inventors: Kenneth William Marr, Chiara Cerafogli, Michele Piccardi, Marco-Domenico Tiburzi, Eric Higgins Freeman, Joshua Daniel Tomayer
  • Patent number: 11978681
    Abstract: Mitigating surface damage of probe pads in preparation for direct bonding of a substrate is provided. Methods and layer structures prepare a semiconductor substrate for direct bonding processes by restoring a flat direct-bonding surface after disruption of probe pad surfaces during test probing. An example method fills a sequence of metals and oxides over the disrupted probe pad surfaces and builds out a dielectric surface and interconnects for hybrid bonding. The interconnects may be connected to the probe pads, and/or to other electrical contacts of the substrate. A layer structure is described for increasing the yield and reliability of the resulting direct bonding process. Another example process builds the probe pads on a next-to-last metallization layer and then applies a direct bonding dielectric layer and damascene process without increasing the count of mask layers.
    Type: Grant
    Filed: May 26, 2022
    Date of Patent: May 7, 2024
    Assignee: ADEIA SEMICONDUCTOR BONDING TECHNOLOGIES INC.
    Inventors: Guilian Gao, Laura Wills Mirkarimi, Gaius Gillman Fountain, Jr.
  • Patent number: 11978682
    Abstract: A first frame is supported by a heat sink plate, surrounds an unmounted region of the heat sink plate, contains a resin, and has a first surface. A second frame contains a resin, and has a second surface opposing the first surface. An external terminal electrode passes between the first surface and the second surface. An adhesive layer contains a resin, and includes a lower portion, an upper portion, and an intermediate portion. The lower portion connects the external terminal electrode and the first surface to each other. The upper portion connects the external terminal electrode and the second surface to each other. The intermediate portion is disposed within a through hole of the external terminal electrode, and connects the lower portion and the upper portion to each other.
    Type: Grant
    Filed: November 19, 2021
    Date of Patent: May 7, 2024
    Assignees: NGK ELECTRONICS DEVICES, INC., NGK INSULATORS, LTD.
    Inventors: Yoshio Tsukiyama, Akiyoshi Osakada, Teppei Yamaguchi