With Camera Or Plural Detectors Patents (Class 250/559.46)
  • Patent number: 11850678
    Abstract: The present invention relates to a plant for processing sheet metal, comprising a conveyor for moving sheet metal; at least one image acquisition apparatus placed above a horizontal plane defined by said conveyor. The apparatus in turn comprises a camera comprising a lens; first moving means for moving the camera parallel to the horizontal plane; an illuminator that is placed beneath the camera and is equipped with a plurality of light sources to illuminate a portion of said horizontal plane located beneath the camera. Said apparatus further comprises second moving means for moving said illuminator with respect to said camera perpendicularly to said horizontal plane supporting the sheet metal.
    Type: Grant
    Filed: February 2, 2022
    Date of Patent: December 26, 2023
    Assignee: DALLAN S.P.A.
    Inventor: Andrea Dallan
  • Patent number: 11852594
    Abstract: A surface scanner for surface defect detection of a cable comprises a measuring part and an analysis part, the measuring part comprises non-contact distance measurement sensors, the cable is positionable between the sensors such that beams of the sensors are directable to the outer surface of the cable to sample areas at a circumference of the cable for a length of the outer surface (L) of the cable in a run direction (x) of the cable for providing measurement data, and the analysis part comprises a receiver for the measurement data, a processor for processing the measurement data providing defect detection data and a continuous 3D topographic map of the outer surface of the cable, the analysis part comprising a neural network trained for detecting surface defects of the cable and outputting surface defects detection data. The invention also relates to an arrangement and a method for surface defect detection of a cable.
    Type: Grant
    Filed: April 11, 2019
    Date of Patent: December 26, 2023
    Assignee: Maillefer S.A.
    Inventors: Janne Harjuhahto, Jaakko Harjuhahto
  • Patent number: 11846501
    Abstract: A method for detecting differences in surface properties of one or more components is provided wherein the infrared radiation emitted by the component at a specific temperature of the component is detected as irradiance on a selected receiver surface relative to the component. When detecting at different positions or on a plurality of components, the solid angle covered by the receiver surface and the distance from the component surface is almost unchanged and differences in the detected irradiance can then be equated with differences in the surface properties of the components. The invention further relates to use of such methods for monitoring and optionally adjusting the surface quality of a component, as well as to a device for adjusting the surface properties in the series production of components.
    Type: Grant
    Filed: June 9, 2021
    Date of Patent: December 19, 2023
    Assignee: Henkel AG & Co. KGaA
    Inventor: Jens Roland Schoene
  • Patent number: 11841355
    Abstract: The invention provides an intelligent quantitative microscopic identification system for whole rock polished sections, which can greatly improve the collection efficiency of whole rock polished sections by adopting a microscopic collecting apparatus. In a preferable technical solution, the microscopic collecting apparatus is combined with the production line for the automatic preparation of whole rock polished sections to form an integrated system, which realizes an automatic solution from preparation to collection, therefore further improves the production and collection efficiency of whole rock polished sections, and which can cope with production, image collection and automatic scanning and splicing of mass whole rock polished sections, so it greatly improves the collection efficiency of the microscopic images of organic components for whole rock polished sections. People can collect 500 to 1000 sample pieces of whole rock polished sections in 12 hours by adopting the solution of the invention.
    Type: Grant
    Filed: November 1, 2021
    Date of Patent: December 12, 2023
    Assignee: YANGTZE UNIVERSITY
    Inventors: Yan Liu, Feilong Wang, Zhigang Wen, Qingyong Luo, Lei Lan, Shuchun Yang, Yongjing Tian, Yaohui Xu, Guangyou Zhu, Xiangchun Chang, Meijun Li
  • Patent number: 11776186
    Abstract: In a method for optimizing the image processing of web videos, information of an image frame in a web video is obtained. A canvas element based on the information of the image is created, and a canvas element is drawn based on the information of the image. Attached recognition information is obtained. A block element based on the attached information is created, and the attached information is set into the block element. The canvas element and the block element are composed and stacked on a web page. The canvas element and the block element are displayed in the web page. An electronic device, and a non-transitory computer readable storage medium applying the method are also provided.
    Type: Grant
    Filed: May 18, 2022
    Date of Patent: October 3, 2023
    Assignee: HON HAI PRECISION INDUSTRY CO., LTD.
    Inventor: Yin-Chung Leung
  • Patent number: 11635698
    Abstract: A method for generating metrology sampling scheme for a patterning process, the method including: obtaining a parameter map of a parameter of a patterning process for a substrate; decomposing the parameter map to generate a fingerprint specific to an apparatus of the patterning process and/or a combination of apparatuses of the patterning process; and based on the fingerprint, generating a metrology sampling scheme for a subsequent substrate at the apparatus of the patterning process and/or the combination of apparatuses of the patterning process, wherein the sampling scheme is configured to distribute sampling points on the subsequent substrate so as to improve a metrology sampling density.
    Type: Grant
    Filed: December 17, 2018
    Date of Patent: April 25, 2023
    Assignee: ASML NETHERLANDS B.V.
    Inventors: Wim Tjibbo Tel, Yichen Zhang, Sarathi Roy
  • Patent number: 11635346
    Abstract: A first nest structure and a second nest structure are brought into alignment. When the alignment of the first nest structure and second nest structure is obtained, the operation of at least one vacuum pump is controlled such that a bearing element is released from the first nest structure and secured in the second nest structure. Movement of the bearing element from the first nest structure to the second nest structure occurs without slippage resulting in preservation of a common frame of reference used when the bearing element is in the first nest structure and the second nest structure.
    Type: Grant
    Filed: February 1, 2022
    Date of Patent: April 25, 2023
    Assignee: General Electric Company
    Inventors: Jessen Compagnat, Marc Andre Ethier, Maxime Beaudoin-Pouliot, John Karigiannis, Stephane Harel
  • Patent number: 11600504
    Abstract: A device may detect a semiconductor wafer to be transferred from a source wafer carrier to a target wafer carrier, and may cause a light source to illuminate the semiconductor wafer. The device may cause a camera to capture images of the semiconductor wafer after the light source illuminates the semiconductor wafer, and may perform image recognition of the images of the semiconductor wafer to determine whether an edge of the semiconductor wafer is damaged. The device may cause the semiconductor wafer to be provided to the source wafer carrier when the edge of the semiconductor wafer is determined to be damaged, and may cause the semiconductor wafer to be provided to the target wafer carrier when the edge of the semiconductor wafer is determined to be undamaged.
    Type: Grant
    Filed: June 29, 2020
    Date of Patent: March 7, 2023
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chen Min Lin, Hsien Tse Chen
  • Patent number: 11543353
    Abstract: A multi-mode illumination system, including: a first illumination module; a second illumination module; and a third illumination module, as disclosed herein.
    Type: Grant
    Filed: February 14, 2020
    Date of Patent: January 3, 2023
    Assignee: Essenlix Corporation
    Inventors: Ji Qi, Stephen Y. Chou, Wei Ding
  • Patent number: 11474032
    Abstract: A scale composition determination device (10) determines that Fe2O3 has been generated in the outermost layer of a scale (SC) in the case where at least one of spectral emissivities at one wavelength and the other wavelength that are measured by radiometers for spectral emissivity measurement (21a, 21b) is not within a predetermined range including spectral emissivities of FeO at one wavelength and the other wavelength, and determines that Fe2O3 has not been generated in the outermost layer of the scale (SC) in the case where all of the spectral emissivities at one wavelength and the other wavelength that are measured by the radiometers for spectral emissivity measurement (21a, 21b) is within the predetermined range including the spectral emissivities of FeO at one wavelength and the other wavelength.
    Type: Grant
    Filed: April 25, 2018
    Date of Patent: October 18, 2022
    Assignee: NIPPON STEEL CORPORATION
    Inventors: Masato Sugiura, Hiroshi Tanei, Shuichi Yamazaki, Yasumitsu Kondo
  • Patent number: 11385039
    Abstract: An edge defect gauge that measures a size of edge defects of glass sheets, the edge defect gauge comprising: a body including opposite end edges and opposite side edges that extend between the end edges forming a body, the body having opposite flat faces; and at least one of (i) a dog ear measuring projection extending outward from one of the end edges at one of the side edges, the dog ear measuring projection having a predetermined height and a predetermined length or (ii) a cantilever measuring recess extending inward from the other end edge at the one of the side edges, the cantilever measuring recess having a predetermined height and a predetermined length.
    Type: Grant
    Filed: September 6, 2019
    Date of Patent: July 12, 2022
    Assignee: Corning Incorporated
    Inventor: John David Schramm
  • Patent number: 11330121
    Abstract: Systems and methods are provided for reviewing images. One embodiment is a system in the form of an image review device that includes a display, an interface that receives images of print media marked by a printer, and a controller that generates notifications based upon the images, assigns the notifications to types based upon the images, and generates a Graphical User Interface (GUI) that presents the notifications via the display, wherein the GUI comprises a carousel that includes a detail area which presents a single notification at a time, a summary area that presents visual indicators that correspond with the notifications, and interactive elements for advancing the detail area to a next notification and for returning the detail area to a prior notification. The controller automatically controls at least one of a color or a shape of each visual indicator to indicate a type of a corresponding notification.
    Type: Grant
    Filed: February 18, 2021
    Date of Patent: May 10, 2022
    Assignee: Ricoh Company, Ltd.
    Inventor: Kara Larsen
  • Patent number: 11199504
    Abstract: A shape inspection apparatus includes N illumination light sources, a line sensor camera, a measurement control unit, and a data processing unit. The measurement control unit controls the illumination light sources to modulate luminescence intensities at a frequency that is 1/N of a frequency of a scan rate of the line sensor camera, and to emit lights by sequentially repeating N different patterns of illumination intensity ratios. The data processing unit generates a first separated image and a second separated image based on a photographed image, generates a first mixing elimination image acquired by removing an unnecessary illumination component from the first separated image, and a second mixing elimination image acquired by removing an unnecessary illumination component from the second separated image, and calculates an inclination of the surface of the strip-shaped body based on a difference between the first mixing elimination image and the second mixing elimination image.
    Type: Grant
    Filed: December 7, 2018
    Date of Patent: December 14, 2021
    Assignee: NIPPON STEEL CORPORATION
    Inventors: Yusuke Konno, Takayuki Sonoda, Nobuhiro Furuya
  • Patent number: 11143600
    Abstract: The invention includes a pulse oscillated light source, an illumination unit that guides light output from the light source to a sample, a scanning unit that controls a position at which the sample is scanned by the illumination unit, a light converging unit that converges light reflected from the sample, a first photoelectric conversion unit that outputs an electric signal corresponding to the light converged by the light converging unit, an AD conversion unit that converts the electric signal output from the first photoelectric conversion unit into a digital signal in synchronization with pulse oscillation of the light source, a linear restoration unit that processes a digital signal converted by the AD conversion unit in synchronization with a pulse oscillation output by the AD conversion unit and corrects nonlinearity of the first photoelectric conversion unit, a defect detection unit that detects a defect of the sample based on an output of the linear restoration unit, and a processing unit that obtains
    Type: Grant
    Filed: February 16, 2018
    Date of Patent: October 12, 2021
    Assignee: HITACHI HIGH-TECH CORPORATION
    Inventors: Toshifumi Honda, Masami Makuuchi, Shunichi Matsumoto, Akira Hamamatsu, Nobuhiro Obara
  • Patent number: 11080887
    Abstract: A device for recognizing distance in real time includes first, second, and cameras. The third camera arranged nearer the first camera than the second camera. The first, second and third cameras acquire simultaneously first, second and third images, respectively, and an electronic circuit of the device estimates the distance of an object as a function of a stereoscopic correspondence established between first and second elements representative of the object. The first and second elements belong to the first and second images, respectively. The stereoscopic correspondence is established by a relationship between the first elements and corresponding third elements belonging to the third image.
    Type: Grant
    Filed: May 2, 2019
    Date of Patent: August 3, 2021
    Assignee: COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES
    Inventor: Roland Pelisson
  • Patent number: 10837768
    Abstract: According to one embodiment, a substrate measurement method comprises irradiating a substrate with an electromagnetic wave, such as an X-ray, at a plurality of incident azimuth angles with respect to an orientation of a repetitive array on the substrate. The irradiating conditions are varied in different incident azimuth angle ranges. The repetitive array comprises a pattern feature, such as a hole or a pillar, for example. The scattering intensity of the electromagnetic waves scattered from the substrate is measured at each of the different incident azimuth angles. Shape information for the pattern feature in the is calculated based on the measured scattering intensity of the electromagnetic waves at the plurality of incident azimuth angles.
    Type: Grant
    Filed: March 4, 2019
    Date of Patent: November 17, 2020
    Assignee: TOSHIBA MEMORY CORPORATION
    Inventor: Kazuki Hagihara
  • Patent number: 10768120
    Abstract: A method comprises: directing a laser beam onto a side of a tube, wherein the side includes a defect; moving the tube with respect to the laser beam such that the laser beam beams onto the defect; sensing a reflection of the laser beam from the side based on the defect; computationally identifying a change between the laser beam and the reflection; computationally acting based on the change. The side can be internal or external. In other implementations, the laser beam is moved with respect to the tube such that the laser beam beams onto the defect.
    Type: Grant
    Filed: May 14, 2018
    Date of Patent: September 8, 2020
    Assignee: Sunspring America, Inc.
    Inventors: Scott Steven Sheppard, James Richard Lambdin
  • Patent number: 10753726
    Abstract: This invention provides a system and method for selecting the correct profile from a range of peaks generated by analyzing a surface with multiple exposure levels applied at discrete intervals. The cloud of peak information is resolved by comparison to a model profile into a best candidate to represent an accurate representation of the object profile. Illustratively, a displacement sensor projects a line of illumination on the surface and receives reflected light at a sensor assembly at a set exposure level. A processor varies the exposure level setting in a plurality of discrete increments, and stores an image of the reflected light for each of the increments. A determination process combines the stored images and aligns the combined images with respect to a model image. Points from the combined images are selected based upon closeness to the model image to provide a candidate profile of the surface.
    Type: Grant
    Filed: March 23, 2018
    Date of Patent: August 25, 2020
    Assignee: Cognex Corporation
    Inventors: David Y. Li, Li Sun, Lowell D. Jacobson, Lei Wang
  • Patent number: 10406613
    Abstract: Provided is an improved lumber edger having a cross cut saw to cross cut lumber into two or more separate pieces to increase the available amount or value of boards, and a method of using the improved lumber edger.
    Type: Grant
    Filed: April 11, 2013
    Date of Patent: September 10, 2019
    Assignee: BAXLEY EQUIPMENT CO.
    Inventors: Bill Wilkins, Chris Raybon, Russell R. Kennedy, Pat Conry
  • Patent number: 10242437
    Abstract: Systems and methods for detecting syringe seal defects are described, including associated syringe stopper designs having seal areas and indicating areas, as well as associated inspection systems and methods for optical imaging and analysis for syringe seal defects in dry and wet syringes.
    Type: Grant
    Filed: January 12, 2017
    Date of Patent: March 26, 2019
    Assignee: W. L. Gore & Associates, Inc.
    Inventors: Greg Rusch, Kevin N. Murphy
  • Patent number: 10180401
    Abstract: A surface defect detecting method of optically detecting a surface defect of a steel material includes: an irradiation step of irradiating an examination target part with illumination light beams from different directions by using two or more distinguishable light sources; and a detection step of obtaining images by reflected light beams of the respective illumination light beams and detecting a surface defect in the examination target part by executing subtraction processing between the obtained images.
    Type: Grant
    Filed: December 24, 2014
    Date of Patent: January 15, 2019
    Assignee: JFE Steel Corporation
    Inventors: Hiroaki Ono, Toshifumi Kodama, Takahiro Koshihara, Akihiro Ogawa, Yukinori Iizuka
  • Patent number: 10161881
    Abstract: An inspection system for inspecting a semiconductor wafer. The inspection system comprises an illumination setup for supplying broadband illumination. The broadband illumination can be of different contrasts, for example brightfield and darkfield broadband illumination. The inspection system further comprises a first image capture device and a second image capture device, each configured for receiving broadband illumination to capture images of the semiconductor wafer while the semiconductor wafer is in motion. The system comprises a number of tube lenses for enabling collimation of the broadband illumination. The system also comprises a stabilizing mechanism and an objective lens assembly. The system further comprises a thin line illumination emitter and a third image capture device for receiving thin line illumination to thereby capture three-dimensional images of the semiconductor wafer.
    Type: Grant
    Filed: January 13, 2010
    Date of Patent: December 25, 2018
    Assignee: SEMICONDUCTOR TECHNOLOGIES & INSTRUMENTS PTE LTD
    Inventors: Ajharali Amanullah, Lin Jing, Han Cheng Ge, Kok Weng Wong
  • Patent number: 10081515
    Abstract: A measurement apparatus is attached to a guide rail above a car. Next, a measurement by the measurement apparatus is performed, and first dimensional data including dimensional data on an upper part of a shaft is acquired. The measurement apparatus is detached from the guide rail, and the car is moved upward and then stopped. The measurement apparatus is attached to the guide rail below the car after the car is stopped. A measurement by the measurement apparatus is performed, and second dimensional data including dimensional data on a lower part of the shaft is acquired. The acquired first dimensional data and the acquired second dimensional data are integrated, and dimensional data on the entire shaft is created.
    Type: Grant
    Filed: April 17, 2015
    Date of Patent: September 25, 2018
    Assignee: MITSUBISHI ELECTRIC CORPORATION
    Inventors: Keisuke Sambongi, Ryoji Tanaka, Shinji Ishiguro
  • Patent number: 9983562
    Abstract: Alignment features (60) associated with a support fixture (36) provide side scan data and top scan data reference points. Side scan displacement sensors (112) obtain side scan data of workpiece edge segments (23), and one or more cameras (130) obtain top scan data to provide a machining reference for the side scan data. The side scan data can be transformed into a top-view coordinate system usable by the laser machining system (140).
    Type: Grant
    Filed: December 8, 2015
    Date of Patent: May 29, 2018
    Assignee: Electro Scientific Industries, Inc.
    Inventors: Joseph Matthew Hasty, Alexander Anatolievich Myachin, Mark Theodore Kosmowski
  • Patent number: 9897440
    Abstract: A method for determining and verifying ply orientation of composite laminates includes performing a first scan of a prepared edge of a composite laminate using an off-axis inclined light source directing light at a first acute angle to a first area on the prepared edge to produce a first scanned image; rotating an orientation of the off-axis inclined light source relative to the prepared edge, such that the off-axis inclined light source directs light at a second acute angle symmetrically opposite the first acute angle; and performing a second scan of the prepared edge using the off-axis inclined light source directing light at the second acute angle to the first area on the prepared edge to produce a second scanned image. The method includes comparing the first and second scanned images to determine a ply orientation of each ply, and verifying the ply orientation against a baseline ply orientation.
    Type: Grant
    Filed: January 17, 2014
    Date of Patent: February 20, 2018
    Assignee: The Boeing Company
    Inventors: Thomas J. Gonze, James R. Kendall, David C. Jackson
  • Patent number: 9810640
    Abstract: A panel inspection apparatus is provided. The panel inspection apparatus has a support platform, a delivery platform and a panel inspection assembly. The delivery platform is disposed on the support platform, and the delivery platform has a push module for delivering the panel. The panel inspection assembly includes a plurality of light source modules and a plurality of image-taking modules corresponding to the light source modules. The light source modules include a front light source, a first horizontal light source, and a back light source. The image-taking modules include a front light image-taking module, a first horizontal light image-taking module, and a back light image-taking module. The push module delivers the panel across the support platform so that a plurality of light beams emitted from the light source modules can scan the panel to finish the panel inspection process.
    Type: Grant
    Filed: January 26, 2016
    Date of Patent: November 7, 2017
    Assignee: CHENG MEI INSTRUMENT TECHNOLOGY CO., LTD.
    Inventors: Chao-Yi Yeh, Pin-Chuan Su, Shang-Iun Yang, Chih Yuan Liu
  • Patent number: 9719356
    Abstract: An automated technique for finishing gas turbine engine blades or vanes by generating a bespoke tooling path for each blade or vane. The bespoke tooling path is generated by scanning the aerofoil surface to generate a 3-D electronic representation of the surface. The 3-D electronic surface is then analyzed to identify imperfections or defects, and then a machining path a generated through which the imperfections can be removed. The machining path is determined so as to smoothly blend the surface back to the underlying surface where the imperfections had been present. In this way, the resulting aerofoil, once machined, has optimized aerodynamic performance.
    Type: Grant
    Filed: June 11, 2014
    Date of Patent: August 1, 2017
    Assignee: ROLLS-ROYCE plc
    Inventor: Roisin Louise Hanlon
  • Patent number: 9691054
    Abstract: A noninstrusive system and method of scanning an object having component parts includes a vendor based data repository of component parts and a matching processor to receive a scanned image representative of the assembled object and to provide suggested and/or matched component parts for purchase from the vendor.
    Type: Grant
    Filed: October 4, 2013
    Date of Patent: June 27, 2017
    Assignee: W.W. Grainger, Inc.
    Inventor: Erwin Munoz Cruz
  • Patent number: 9689804
    Abstract: A system for inspecting a backside surface of a wafer with multi-channel focus control includes a set of inspection sub-systems including a first inspection sub-system positioned and an additional inspection sub-system. The first and additional inspection sub-systems include an optical assembly, an actuation assembly, where the optical assembly is disposed on the actuation assembly, and a positional sensor configured to sense a position characteristic between a portion of the optical assembly and the backside surface of the wafer. The system also includes a controller configured to acquire one or more wafer profile maps of the backside surface of the wafer and adjust a first focus position of the first inspection sub-system or an additional focus position of the additional inspection sub-system based on the received one or more wafer profile maps.
    Type: Grant
    Filed: December 19, 2014
    Date of Patent: June 27, 2017
    Assignee: KLA-Tencor Corporation
    Inventor: Yakov Bobrov
  • Patent number: 9635908
    Abstract: The present invention relates to the automated cutting of pliable items. More particularly, the present invention relates to the automatic cutting and/or trimming of pliable items, such as a shoe upper that lacks uniformity. An image of the pliable item is captured having image features and a pattern is retrieved having pattern features, such as a proposed cut path. An image feature is compared to distance tolerances associated with pattern features to adjust the proposed cut path such that it satisfies the distance tolerances while providing a consistently sized and shaped trimmed item.
    Type: Grant
    Filed: October 21, 2013
    Date of Patent: May 2, 2017
    Assignee: NIKE, Inc.
    Inventors: Chih-Chi Chang, Cheng-Yen Ho, Mike F. Quigley, Shu-Hui Wang
  • Patent number: 9521305
    Abstract: An optical member conveying device (90) of an embodiment of the present invention includes: a small LED illumination device (13) which (i) is included in a lens unit suction head (14) which adheres to a top surface of a lens section (50) and (ii) emits light from above the top surface of the lens section (50) toward an opening hole (3a) from which light enters, the opening hole (3a) being provided on the top surface of the lens section (50); and a control section which controls the lens unit suction head (14) to carry out an alignment of the lens section (50) in accordance with a projection image obtained by projecting, by use of light emitted from the small LED illumination device (13), the opening hole (3a) on a side of a back surface of the lens section (50).
    Type: Grant
    Filed: September 24, 2013
    Date of Patent: December 13, 2016
    Assignee: SHARP KABUSHIKI KAISHA
    Inventors: Shinji Kaioka, Akitoshi Ikuno
  • Patent number: 9283687
    Abstract: An apparatus includes an information obtaining device configured to obtain information representing an optionally designated position on an object, a projector, a processing device, a pattern specifying device and a control device. The control device is configured to cause the apparatus to specify two designated positions on an object based on information obtained by the information obtaining device, to change a size of a specified pattern according to a distance between the specified designated positions, to cause the projector to project a marker onto the object in a size matched with the changed size of the pattern, based on the specified designated positions on the object, to determine a cutting or printing position of the pattern based on the specified designated positions on the object and to control the processing device to perform cutting or printing of the pattern in the changed size at the determined cutting or printing positions.
    Type: Grant
    Filed: May 27, 2014
    Date of Patent: March 15, 2016
    Assignee: Brother Kogyo Kabushiki Kaisha
    Inventors: Yoko Yamanashi, Mika Matsushima, Masayuki Hori
  • Patent number: 9172916
    Abstract: A method for identifying defects in a web of material is provided. The method may include monitoring one or more characteristics of a web translating along a travel path. The one or more characteristics may include one of position, speed of travel, and direction of travel. The method may include identifying a candidate for a defect by detecting one or more deviations in the web at a first time frame. The method may include monitoring one or more characteristics of the candidate for a defect at one or more subsequent time frames. The method may include determining whether the candidate is a defect by comparing the one or more characteristics of the candidate at one or more subsequent time frames to the one or more characteristics of the web. A related system is also provided.
    Type: Grant
    Filed: December 12, 2011
    Date of Patent: October 27, 2015
    Assignee: Event Capture Systems, Inc.
    Inventors: Eddy C. Tam, John G. Larkin, Brian J. Mock
  • Patent number: 8899831
    Abstract: A radiographic apparatus includes an image acquisition controller for controlling operation of more than one electronic cassette. For signal communication between the image acquisition controller and the electronic cassettes, each electronic cassette may be connected to a communication cable. These communication cables are connected to a switching hub that intermediates communication between the electronic cassettes and the image acquisition controller. The radiographic apparatus includes multiple power supplies corresponding in number to the electronic cassettes. Each electronic cassette may be connected to one power supply through a power cable separately from the communication cable.
    Type: Grant
    Filed: February 28, 2012
    Date of Patent: December 2, 2014
    Assignee: Fujifilm Corporation
    Inventors: Yutaka Yoshida, Yusuke Kitagawa, Katsumi Shimada, Noriaki Ida
  • Patent number: 8729514
    Abstract: A defect inspection apparatus including: a first illumination optical system which is configured to illuminate the inspection area on a sample surface from a normal line direction or a direction near thereof with respect to said sample surface; a second illumination optical system which is configured to illuminate said inspection area from a slant direction with respect to said sample surface; a detection optical system having a plurality of first detectors which are located, in front of, on the sides of, and behind said inspection area, respectively, with respect to the illumination direction of said second illumination optical system, and where the regular reflected light component, from said sample surface, by illumination light of said second illumination optical system, is not converged; and a signal processing system which is configured to inspect a defect, upon basis of signals obtained from said plurality of first detectors.
    Type: Grant
    Filed: May 24, 2011
    Date of Patent: May 20, 2014
    Assignee: Hitachi High-Technologies Corporaation
    Inventors: Ichiro Ishimaru, Minori Noguchi, Ichiro Moriyama, Yoshikazu Tanabe, Yasuo Yatsugake, Yukio Kenbou, Kenji Watanabe, Hirofumi Tsuchiyama
  • Patent number: 8330134
    Abstract: Various embodiments related to monitoring for optical faults in an optical system are disclosed. For example, one disclosed embodiment provides, in an optical system comprising a light source, a light outlet, and an optical element disposed between the light source and the light outlet, a method of monitoring for optical system faults. The method includes detecting, via a light sensor directed toward an interface surface of the optical element closest to the light source, an intensity of light traveling from the interface surface of the optical element to the light sensor, and comparing an intensity of light detected to one or more threshold intensity values. The method further includes identifying an optical system fault condition based on comparing the intensity of light detected to one or more threshold values, and modifying operation of the optical system.
    Type: Grant
    Filed: September 14, 2009
    Date of Patent: December 11, 2012
    Assignee: Microsoft Corporation
    Inventor: Dawson Yee
  • Patent number: 8242477
    Abstract: An inspection system for inspecting a sheet of glass comprises an illumination module, a collimating lens system, a telecentric imaging lens system and a Time Delay Integration line-scan camera. The illumination module has a low coherence light source emitting light. The collimating lens system has a focal point on the main axis thereof on which focal point its light source is located. The collimating lens system produces a collimated sheet of light from the emitted light. This collimated sheet of light passes through the sheet of glass. The telecentric imaging lens system concentrates the sheet of light passed through the sheet of glass on an image formation plane.
    Type: Grant
    Filed: January 11, 2008
    Date of Patent: August 14, 2012
    Assignee: Synergx Technologies Inc.
    Inventor: Aleksey Lopatin
  • Patent number: 8158428
    Abstract: A system for detecting defects in a combustion duct of a combustion system of a combustion turbine engine while the combustion turbine engine operates, wherein the combustion duct comprises a hot side, which is exposed to combustion gases and, opposing the hot side, a cold side. In one embodiment, the system comprises: a photodetector aimed at the cold side of the combustion duct, the photodetector being configured to detect a visible change to the cold side of the combustion duct.
    Type: Grant
    Filed: December 30, 2010
    Date of Patent: April 17, 2012
    Assignee: General Electric Company
    Inventors: Pradeep Aadi Gopala Krishna, Dullal Ghosh, Saurav Dugar, Matthew Paul Berkebile, Anthony Wayne Krull
  • Patent number: 8067719
    Abstract: A portable undercarriage vehicle inspection system (UVIS) (100) uses an under vehicle imaging (UVI) module (110) to capture an image of the undercarriage of a vehicle. The UVIS also includes multiple scene cameras (120) that capture the associated vehicle scene images. The scene cameras are easy to view and manipulate. The undercarriage image and the associated vehicle scene images are provided to a power and communications unit (PCU) (140) through a network (130) such as Ethernet. These images may be stored in a database repository connected to the network. A notebook computer will serve as an operator workstation (150, 152, 154) for display of real-time, as well as historical, vehicular records. An operator viewing the images can enter additional information related to the images, such as comments and remarks, and archive all of the information for future reference and comparisons.
    Type: Grant
    Filed: August 17, 2007
    Date of Patent: November 29, 2011
    Assignee: Perceptics, LLC
    Inventors: Juan A. Herrera, Charles A. Cruey, George E. Deichert, Alfred L Marston, III, Anthony S. Nelms, Christopher C. Richardson, Kent A. Rinehart, Richard P. Williams, Charles L. Guffey, Augustin L. Manolache
  • Patent number: 7952085
    Abstract: The invention provides a surface inspection apparatus and a method for inspecting the surface of a sample that are capable of inspecting discriminatingly between the scratch of various configuration and the adhered foreign object that occur on the surface of a work target when the work target (for example, an insulating film on a semiconductor substrate) is subjected to polishing process such as CMP or grinding process in semiconductor manufacturing process or magnetic head manufacturing process.
    Type: Grant
    Filed: August 22, 2008
    Date of Patent: May 31, 2011
    Assignees: Hitachi, Ltd., Hitachi High-Technologies Corporation
    Inventors: Ichiro Ishimaru, Minori Noguchi, Ichiro Moriyama, Yoshikazu Tanabe, Yasuo Yatsugake, Yukio Kenbou, Kenji Watanabe, Hirofumi Tsuchiyama
  • Patent number: 7920751
    Abstract: An imaging system includes a two-dimensional detector having a plurality of cells wherein each cell is configured to detect energy or signal passing through an object. The imaging system includes a computer programmed to acquire imaging data for the plurality of cells, identify a cell to be corrected, based on the imaging data, interpolate Ix and Iy for the identified cell based on neighbor cells, and calculate local gradients gx and gy between the identified cell and its neighbor cells based on the interpolation. The computer is further programmed to calculate weighting factors wx and wy based on the local gradients, calculate a corrected final value I(0,0) for the identified cell, and correct the identified cell with the corrected final value.
    Type: Grant
    Filed: March 16, 2007
    Date of Patent: April 5, 2011
    Assignee: General Electric Company
    Inventors: Baojun Li, Jiang Hsieh
  • Patent number: 7839547
    Abstract: An inspection apparatus includes a light source unit which irradiates illumination light onto a hologram of a medium from a predetermined direction at which diffraction light from the hologram is obtained, a first light receiving member which receives the diffraction light from the hologram, a second light receiving member which receives transmitted part of illumination light irradiated onto the hologram, having passed through the medium, and an identification processing unit which identifies authenticity of the hologram from the diffraction light received by the first light receiving member and identifies a defect of the hologram from the transmitted light received by the second light receiving member.
    Type: Grant
    Filed: March 6, 2007
    Date of Patent: November 23, 2010
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Junji Miura, Takahisa Nakano
  • Patent number: 7800060
    Abstract: Easily and correctly measuring a dimension of a pattern of a photomask or of an OPC pattern of the photomask. A pattern measurement method of the present invention includes steps of obtaining both a standard pattern corresponding to a predetermined pattern and a measurement point specified in advance; setting a measurement area so that it includes two straight line segments on both sides of the measurement point among outlines of the standard pattern; and measuring a dimension between two contours of the scanned image of the predetermined pattern in the measurement area by superposing the measurement area on the scanned image of the predetermined pattern. The measurement area is set so as not to include portions near corner portions connected to two line segments.
    Type: Grant
    Filed: July 30, 2008
    Date of Patent: September 21, 2010
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Hidetoshi Sato, Ryoichi Matsuoka, Takumichi Sutani
  • Patent number: 7793412
    Abstract: A component-embedded board fabrication method includes detecting, before the board is covered with a first insulating layer, the actual position of a first electronic component formed on a surface of the board, calculating a displacement between the design position of the first electronic component on the surface of the board and holding the displacement as first displacement data, and correcting, based on the first displacement data, design data to be used for processing the board after the board is covered with the first insulating layer.
    Type: Grant
    Filed: July 3, 2003
    Date of Patent: September 14, 2010
    Assignee: Shinko Electric Industries Co., Ltd.
    Inventors: Masatoshi Akagawa, Kazunari Sekigawa, Shinichi Wakabayashi
  • Patent number: 7560720
    Abstract: A method of detecting unwanted objects or faults in containers containing a fluid or liquid includes: (a) moving the containers along a path of travel; (b) providing; a light source emitting light of a specific spectral distribution, wherein the containers and their contents are at least partly transparent or translucent at the specific spectral distribution; (c) providing a camera for detecting light at the specific spectral distribution, wherein (1) the path of travel intersects a field of view defined by the camera, and (2) the camera registers a sequence of digital images as the containers pass between the light source and the camera; (d) selecting a part of each of the digital images that corresponds to the outline of a specific container; and (e) processing a sequence of the parts of the digital images so as to detect the unwanted objects or faults in the specific container.
    Type: Grant
    Filed: August 29, 2005
    Date of Patent: July 14, 2009
    Assignee: Moller & Devicon A/S
    Inventors: Aksel Voigt, Per T. Sorensen, Henrik M. Nielsen
  • Patent number: 7542821
    Abstract: A conversion control system is described that includes a database to store data defining a set of rules and an interface to receive local anomaly information from a plurality of different analysis machines associated with a plurality of manufacturing process lines that perform a plurality of operations on a web of material, and each of the manufacturing process lines includes position data for a set of regions on the web containing anomalies. The system also includes a computer that registers the position data of the local anomaly information for the plurality of manufacturing process lines to produce aggregate anomaly information. The system further includes a conversion control engine that applies the rules to the aggregate anomaly information to determine which anomalies represent actual defects in the web for a plurality of different products.
    Type: Grant
    Filed: July 26, 2007
    Date of Patent: June 2, 2009
    Assignee: 3M Innovative Properties Company
    Inventors: Steven P. Floeder, Kenneth G. Brittain, James A. Masterman, Carl J. Skeps
  • Publication number: 20090103078
    Abstract: An apparatus for detecting defects, including: a table unit which mounts a specimen to be inspected having a linearly moving stage and a rotationally moving stage; a first illumination optical unit which illuminates an inspection region of a surface of the specimen from a normal direction or in the vicinity of the normal direction while the specimen is rotating by the rotationally moving stage and moving in one direction by the linearly moving stage; a second illumination optical unit which illuminates the inspection region from a first elevation angle toward the inspection region while the specimen is rotating and moving; a first detection optical unit which detects light reflected from the inspection region by the illumination of the first illumination optical unit or the second illumination optical unit with plural detectors arranged in plural portions of a second elevation angle toward the inspection region; a second detection optical unit which detects light reflected from the inspection region by the il
    Type: Application
    Filed: August 22, 2008
    Publication date: April 23, 2009
    Inventors: Ichiro ISHIMARU, Minori Noguchi, Ichiro Moriyama, Yoshikazu Tanabe, Yasuo Yatsugake, Yukio Kenbou, Kenji Watanabe, Hirofumi Tsuchiyama
  • Patent number: 7476834
    Abstract: A diagnosis system includes an image generating apparatus for forming photographed image data and a control apparatus, wherein the image generating apparatus includes an FPD having an image generating device for forming the photographed data, an image memory capable of storing the photographed image data formed by the image generating device in order of photographing and a communication section 236 capable of transmitting the photographed image data stored in the image memory to the control apparatus, and the control apparatus includes a communication section 36 for receiving the photographed image data transmitted from the communication section 236 and a CPU for correlating the photographed image data received by the communication section 36 with patient information of the patient corresponding to the photographed image data.
    Type: Grant
    Filed: March 29, 2007
    Date of Patent: January 13, 2009
    Assignee: Konica Minolta Medical & Graphic Inc.
    Inventors: Mamoru Umeki, Jiro Okuzawa, Wataru Motoki
  • Patent number: 7456948
    Abstract: A method and equipment which includes an illustrated-spot illumination-distribution data table for storing an illumination distribution within an illustrated spot and which calculates a coordinate position for a particle or a defect and the diameter of the particle on the basis of detection light intensity data about the particle or defect and the illustrated-spot illumination-distribution data table. Thus, even when the illumination distribution within the illustrated spot based on an actual illumination optical system is not a Gaussian distribution, the calculation of the particle diameter of the detected particle or defect and the calculation of a coordinate position on the surface of an object to be inspected can be attained with an increased accuracy.
    Type: Grant
    Filed: July 5, 2007
    Date of Patent: November 25, 2008
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Takahiro Togashi, Shigeru Matsui
  • Patent number: 7417244
    Abstract: An apparatus for detecting defects, including: a first illumination optical unit which illuminates from a normal direction or in the vicinity of the normal direction; a second illumination optical unit which illuminates from a first elevation angle; a first detection optical unit which detects light reflected by the illumination of the first illumination optical unit or the second illumination optical unit with plural detectors; a second detection optical unit which detects light reflected by the illumination of the first illumination optical unit or the second illumination optical unit with plural detectors; wherein the plural detectors of the first detection optical unit and the plural detectors of the second detection optical unit are photomultipliers, and the signal processor processes the signals outputted from the photomultipliers and are adjusted to balance in sensitivities.
    Type: Grant
    Filed: January 25, 2007
    Date of Patent: August 26, 2008
    Assignees: Hitachi, Ltd., Hitachi High-Technologies Corporation
    Inventors: Ichiro Ishimaru, Minori Noguchi, Ichiro Moriyama, Yoshikazu Tanabe, Yasuo Yatsugake, Yukio Kenbou, Kenji Watanabe, Hirofumi Tsuchiyama