Receptacle Has Spaced Article Supports Patents (Class 414/416.08)
  • Patent number: 7054713
    Abstract: A calibration cassette pod for robot teaching and a method of using the calibration cassette pod are described. In the calibration cassette pod, a cassette pod body and a cassette pod door are first provided wherein the cassette pod body is constructed of a top panel, a bottom panel, two side panels and a front panel to enclose a cavity therein. A first plurality of ribs is formed on an inside surface of the cassette pod body, each having a predetermined depth sufficient to support an edge portion of a wafer. An optical detector housing is mounted on an opening in the front panel and is adapted for receiving an optical detector therein. An optical detector that includes a light emission source and a photo diode receiver for determining the position of the edge portion of the wafer is mounted in the optical detector housing.
    Type: Grant
    Filed: January 7, 2002
    Date of Patent: May 30, 2006
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Kuo-Hsing Teng, Fu-Shun Lo, Yi-Chang Tsai
  • Patent number: 7033126
    Abstract: A receiver frame loads and unloads a batch of semiconductor wafers onto wafer holders in a wafer boat. The wafer holders extends continuously about the perimeter of an overlying wafer. The receiver frame is provided with a plurality of supporting arms which are immovably mounted to a vertically extending structure. The supporting arms are coaxially aligned and vertically spaced in a manner corresponding with the spacing of the wafer holders in the wafer boat. Each supporting arm is configured to be accommodated below a support ring, with its distal end extending to align with the center region of the wafer holder. The distal end of each supporting arm is provided with at least three support pins to support a wafer vertically spaced above a wafer holder. To load wafers onto the wafer holders, after placing the wafers upon the support pins, the wafer holders are moved above the support pins so that the wafer holders contact and lift the wafers off the support pins.
    Type: Grant
    Filed: April 2, 2003
    Date of Patent: April 25, 2006
    Assignee: ASM International N.V.
    Inventor: Jannes Remco Van Den Berg
  • Patent number: 7011484
    Abstract: An apparatus for transporting a flat object from one position to another position. The apparatus includes an end effector having a base portion and at least one finger extending from the base portion. The finger having a top surface and a bottom surface, and the finger including a free end. The top surface includes a substantially flat portion extending from the base portion, and wherein the finger includes a tapered portion extending from the substantially flat portion towards the free end.
    Type: Grant
    Filed: January 11, 2002
    Date of Patent: March 14, 2006
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventor: Kuei-Hung Lee
  • Patent number: 7001130
    Abstract: Robotic rack loading apparatus and method include features and loading steps that provide essentially damage free rack loading of automotive panel assemblies into a specially designed rack. The rack includes dunnage elements containing sets of slots with lead-in upper edge angles and sensing holes associated with the slots. The robot carries a modified end of arm tool including a sensor to sense the location of a sensing hole to position a panel for loading into a corresponding set of slots. Another sensor preferably senses objects or structure in the rack to position the tool in a preload position. A compliant device allows lateral and longitudinal compliance of the end of arm tool to allow assemblies to be funneled into position in the slots without encountering damaging stress. A programmed robot can thus automatically load panel assemblies into the rack without damage.
    Type: Grant
    Filed: December 18, 2001
    Date of Patent: February 21, 2006
    Assignee: General Motors Corporation
    Inventor: Lance T. Ransom
  • Patent number: 6984839
    Abstract: A wafer processing apparatus on which a pod having an opening is detachably mounted is provided with a door unit and a mapping unit provided with a transmitting type sensor having an emitter and a detector forming a slot therebetween. The emitter and the detector are moved toward the opening in the pod and are plunged into the interior of the pod after a door is opened by the door unit, and the slot between the emitter and the detector crosses an end portion of a wafer to thereby detect the presence or absence of the wafer. Thereby, a mechanism portion liable to produce dust which may adhere to the wafer and cause the contamination thereof can be disposed separately from the pod.
    Type: Grant
    Filed: November 22, 2002
    Date of Patent: January 10, 2006
    Assignee: TDK Corporation
    Inventors: Hiroshi Igarashi, Tsutomu Okabe, Toshihiko Miyajima
  • Patent number: 6942738
    Abstract: An automated semiconductor processing system has an indexer bay perpendicularly aligned with a process bay within a clean air enclosure. An indexer in the indexer bay provides stocking or storage for work in progress semiconductor wafers. Process chambers are located in the process bay. A process robot moves between the indexer bay and process bay to carry semi-conductor wafers to and from the process chambers. The process robot has a robot arm vertically moveable along a lift rail. Semiconductor wafers are carried offset from the robot arm, to better avoid contamination. The automated system is compact and requires less clean room floor space.
    Type: Grant
    Filed: July 7, 2000
    Date of Patent: September 13, 2005
    Assignee: Semitool, Inc.
    Inventors: Gordon Ray Nelson, Daniel P. Bexten, Jeffry A. Davis
  • Patent number: 6916147
    Abstract: A substrate storage cassette and a method of orienting a substrate disposed therein are provided. In one embodiment, the substrate storage cassette includes a plurality of flanges pairs disposed between a first lateral sidewall coupled in a spaced-apart relation to a second lateral sidewall. Each of the flange pairs adapted to support a substrate thereon. At least a first alignment feature disposed between the flange pair and adapted to mate with an orientation feature of the substrate when the substrate is in a predefined orientation.
    Type: Grant
    Filed: October 25, 2002
    Date of Patent: July 12, 2005
    Assignee: Applied Materials, Inc.
    Inventors: Dongchoon Suh, Wendell T. Blonigan, Rolf A. Guenther
  • Patent number: 6873402
    Abstract: Methods and apparatus for ensuring the proper handling of reticles in the manufacturing of microdevices are disclosed. The methods and apparatus employ one or more reticle stop blocks fixed to a reticle handling arm. The one or more reticle stop blocks are designed and arranged to engage an edge of the reticle in order to place the reticle in a desired position on the reticle handling arm should the reticle be improperly arranged in a cassette in which the reticle is stored. By ensuring proper placement of the reticle on the reticle handling arm when the reticle is removed from the cassette, the likelihood of a subsequent fault in handling the reticle is greatly reduced.
    Type: Grant
    Filed: July 31, 2003
    Date of Patent: March 29, 2005
    Assignee: Intel Corporation
    Inventors: Daniel Lawson Greene, Jr., Ron Sinicki, Kurt Woolley
  • Patent number: 6833035
    Abstract: A processor for processing integrated circuit wafers, semiconductor substrates, data disks and similar units requiring very low contamination levels. The processor has an interface section which receives wafers in standard wafer carriers. The interface section transfers the wafers from carriers onto novel trays for improved processing. The interface unit can hold multiple groups of multiple trays. A conveyor having an automated arm assembly moves wafers supported on a tray. The conveyor moves the trays from the interface along a track to several processing stations. The processing stations are accessed from an enclosed area adjoining the interface section.
    Type: Grant
    Filed: May 22, 2000
    Date of Patent: December 21, 2004
    Assignee: Semitool, Inc.
    Inventors: Raymond F. Thompson, Robert W. Berner, Gary L. Curtis, Stephen P. Culliton, Blaine G. Wright
  • Patent number: 6817823
    Abstract: The invention relates to a wafer transfer system that achieves high efficiency, as measured by throughput rate. This is accomplished in one instance by the combination of reliable transfer of single wafers between ports while being simultaneously rotated to accomplish notch alignment. Another instance allows for simultaneous tilting of a multitude of wafers, such as changing the entire load of a transfer cassette between horizontal and vertical orientations, rather than operating on individual wafers serially. Furthermore, the design of this system renders it usable in both left-handed and right-handed workflow arrangements, not requiring construction of mirror-image systems and thereby achieving an economy of scale in production and inventory of the wafer transfer system itself.
    Type: Grant
    Filed: September 10, 2002
    Date of Patent: November 16, 2004
    Assignee: Marian Corporation
    Inventors: Edward R. Fix, William W. Becia, Douglas R. Farnlund, Sven Evers
  • Publication number: 20040101388
    Abstract: A fabrication system for producing semiconductor devices having a clean room on two floors, a method of performing subsequent fabrication processes, a clean room, and a semiconductor wafer deliver system. The fabrication system includes first and second clean rooms on upper and lower floors respectively. Each of the first and second clean rooms includes a plurality of main bays that contain semiconductor fabrication apparatuses for performing semiconductor processes. A plurality of paths are positioned substantially perpendicular to the main bays and divide the main bays into a plurality of sub bays. Semiconductor wafer delivery systems may be located in the sub bays near the paths to transfer semiconductor wafers between the first and second clean rooms.
    Type: Application
    Filed: April 28, 2003
    Publication date: May 27, 2004
    Inventor: Che Young Lee
  • Publication number: 20040091343
    Abstract: A mechanical apparatus and method are disclosed for orienting and positioning semiconductor wafers while avoiding contamination of elements on the faces thereof, by only contacting the peripheries thereof. The apparatus may include a frame for wafer supports and a semiconductor wafer gripping arm. The gripping arm is mounted on a translator for movement in X, Y, and Z directions to engage and move wafers in, from, and between supports. The gripping arm comprises a rigid structure with a plurality of semiconductor support wheels mounted thereon to support a wafer only around its periphery. A drive wheel is provided to orient a supported wafer rotationally while it is being supported around its periphery. A detector is provided to detect orientation of the wafer relative to a notch or other position mark on its periphery.
    Type: Application
    Filed: October 24, 2003
    Publication date: May 13, 2004
    Applicant: Recif, Inc.
    Inventors: Pierre Astegno, Ekaterina Esteve, Alain Gaudon
  • Publication number: 20040013503
    Abstract: A robot constructed according to the present disclosure is adapted to grasp and move a plurality of wafers simultaneously. Such robot includes movable arm and wrist rotatably mounted thereto, with a multi-wafer robotic hand coupled to the wrist of the robot. Wafers can be transferred by inserting end effectors between the wafers in a receptacle, grasping the desired wafers, relocating the hand to another wafer receptacle, and releasing of the wafers into the second receptacle.
    Type: Application
    Filed: July 22, 2002
    Publication date: January 22, 2004
    Inventors: Jaswant Sandhu, Toshi Kono, Osamu Komiyaji, Robert Hosack, Keith Berdogin, Neal Martin
  • Patent number: 6663332
    Abstract: Installation for treatment of wafers in a reactor. To that end a series of wafers is placed in a wafer rack and fed into the reactor. Transport into and out of the reactor, which is sited in an enclosed chamber, takes place with the aid of conveyor means. The wafers are transferred from the wafer rack to one or more cassettes. During this operation the wafer rack is always in the vertical position, that is to say the wafers are horizontal. The same preferably also applies to the cassettes, so that the wafers remain horizontal throughout the entire process.
    Type: Grant
    Filed: October 10, 2000
    Date of Patent: December 16, 2003
    Assignee: ASM International N.V.
    Inventors: Boudewijn Gijsbert Sluijk, Christianus Gerardus Maria De Ridder
  • Patent number: 6634847
    Abstract: A method and apparatus for picking up module ICs from customer trays utilizes a rotating table. In the apparatus and method, the customer tray is placed on the rotating table, and the rotating table is inclined a predetermined amount. The inclination of the rotating table causes the customer tray, and the module ICs held in the tray, to also be inclined. A grasping apparatus is then used to pick up the module ICs from the customer tray. The pick up apparatus may also be configured to incline the same amount as the rotating table. Once the module ICs have been picked up from the customer tray, they can be delivered to an unloading station, or to a module IC carrier.
    Type: Grant
    Filed: November 10, 1999
    Date of Patent: October 21, 2003
    Assignee: Mirae Corporation
    Inventor: Seung Soo Yeom
  • Patent number: 6632065
    Abstract: A substrate-handling robot comprises an arm drive mechanism with a first arm connected to it. A multiple substrate batch loader is connected to the first arm. The multiple substrate batch loader includes a set of vertically stacked substrate-handling paddles. A control circuit is connected to the arm drive mechanism. A vacuum control system is connected to the multiple substrate batch loader and the control circuit. The vacuum control system includes a set of vacuum control valves corresponding to the set of vertically stacked substrate-handling paddles. The set of vacuum control valves includes a selected vacuum control valve for a selected substrate-handling paddle. A set of vacuum sensors is connected to the set of vacuum control valves. The set of vacuum sensors includes a selected vacuum sensor corresponding to the selected vacuum control valve. The selected vacuum sensor provides a substrate-absent signal when a substrate is not present at the selected substrate-handling paddle.
    Type: Grant
    Filed: June 23, 2000
    Date of Patent: October 14, 2003
    Assignee: Equipe Technologies
    Inventors: James A. Cameron, Steven G. Reyling
  • Patent number: 6616401
    Abstract: In a tray feeder, in which a drawing section draws a palette from a container and supplies the palette to a pick-up point where a pick-up head of a parts-mounting-apparatus picks up parts, the head starts lowering and halts at a stand-by position before the palette held by the drawing section arrives at the pick-up point. When the drawing section arrives at the pick-up point, the head lowers again from the stand-by position. This mechanism reduces a time necessary for picking up a part.
    Type: Grant
    Filed: April 12, 2001
    Date of Patent: September 9, 2003
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Yuji Nakamura, Taisuke Mori, Takashi Tamura
  • Patent number: 6582174
    Abstract: A substrate processing apparatus includes a rotation accommodating shelf and a transfer machine. The rotation accommodating shelf is capable of accommodating a plurality of accommodating containers in which substrates are to be loaded. The transfer machine is for transferring the plurality of accommodating containers to the rotation accommodating shelf. The plurality of accommodating containers are respectively disposed on radial lines, which radiate from a rotation center of the rotation accommodating shelf, such that each accommodating container is respectively inclined in a horizontal plane in a same fixed direction with respect to a radial line.
    Type: Grant
    Filed: January 25, 2000
    Date of Patent: June 24, 2003
    Assignee: Kokusai Electric Co., Ltd.
    Inventor: Akinari Hayashi
  • Publication number: 20030063967
    Abstract: A method and apparatus for minimizing the surface contamination of semiconductor wafers (11) during the semiconductor device manufacturing process. Semiconductor wafers (11) are stored in a storage cassette (12) with their face sides (17) facing downward and their back sides (16) facing upward. Particulate contamination present on the back sides of the wafers is thereby secured to the wafers by the force of gravity, and the faces of the wafers are shielded from falling debris. An automated wafer handling device (19) is provided with a rotary joint (22) to accomplish the wafer flipping motion before inserting a wafer into a cassette and after removing the wafer from the cassette.
    Type: Application
    Filed: September 28, 2001
    Publication date: April 3, 2003
    Inventors: Michael Antonell, Erik Cho Houge, Larry E. Plew, Catherine Vartuli, Jennifer Juszczak
  • Patent number: 6540468
    Abstract: An apparatus and a method for handling individual wafers (2) that are temporarily in an essentially horizontal position. The apparatus (1) comprises at least one supporting part (5) with supporting areas (6), comprising at least three supporting points (9), for supporting an edge region of the wafer, and at least one holding part (7) with holding areas (8), comprising at least two holding points (10), for gripping the edge (4) of this wafer (2), with the holding points (10) being moveable relative to the supporting points (9) and, to grasp the wafer (2), relative to one another. Moreover, at least one of these holding points (10) is arranged on a rotatable rod (12) designed, for reliable holding of the wafer, as a holding part (7), with rod (12) extending coaxially with an axis (11) running through the wafer center (3) and essentially parallel to the surface of the wafer (2).
    Type: Grant
    Filed: April 17, 2001
    Date of Patent: April 1, 2003
    Assignee: Tec-Sem AG
    Inventors: Jakob Blattner, Reto Stibi
  • Patent number: 6540469
    Abstract: A substrate processing apparatus includes a process tube for processing a plurality of substrates, two boats for accommodating the substrates, two boat elevators, a substrate transfer unit for loading and unloading the substrates into and from the boats when the boats are at the first position. In this apparatus, each boat elevator has one boat mounted thereon and each of the boat elevators carries a corresponding boat between a first position located below the process tube and two corresponding second positions. Each of the boat elevators performs loading and unloading the corresponding boat into and from the process tube at the first position. Further, in the apparatus, a center position of the process tube is disposed inside a triangle formed by connecting the substrate transfer unit and the two boat elevators.
    Type: Grant
    Filed: September 5, 2001
    Date of Patent: April 1, 2003
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Tatsuhisa Matsunaga, Kouichi Noto
  • Patent number: 6524052
    Abstract: A tray feeder sequentially takes pallets, each holding a tray storing electronic parts, out of a magazine and feeds them to a pick-up position where the electronic parts are picked up by a transfer head of an electronic parts-mounting apparatus. The tray feeder comprises the magazines for containing the pallets in a stacked manner, a drawing portion for drawing the pallet from the magazine and carrying the same thereon, a lifting-lowering portion for vertically moving the drawing portion, a non-mounted-parts discharge portion provided above the magazines, and a replenishing portion for receiving the pallet, holding the empty tray from which the electronic parts have been picked up, and for recharging the pallet after fresh electronic parts are replenished. With this construction, there can be achieved the tray feeder that is excellent in the operation efficiency and is compact in size.
    Type: Grant
    Filed: April 12, 2000
    Date of Patent: February 25, 2003
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Jun Yamauchi, Yuji Tanaka
  • Publication number: 20020197139
    Abstract: The objective of the present invention is to provide a transfer device for substrate capable of preventing deformation or breakage of substrate due to bending stress, by reducing the amount of dead weight deflection of substrate, such as large mother glass boards, etc.
    Type: Application
    Filed: June 26, 2002
    Publication date: December 26, 2002
    Inventors: Hiroshi Chinbe, Yoshiya Endo, Naoki Shimakawa, Ichiro Fukuwatari, Yoshiaki Hayashida, Yoshio Takakura, Akio Matsuyama, Hideto Kohketsu
  • Patent number: 6493961
    Abstract: A processing unit for a substrate has a vertical thermal processing furnace 4 having a bottom and an opening 4a provided at the bottom. A boat 3 holding substrates W in vertical multistairs can be placed on a first lid 17, and the first lid 17 can open and close the opening 4a of the vertical thermal processing furnace 4 with the boat 3 placed thereon. The processing unit also has a boat-placing portion 19 on which the boat 3 and another boat 3 can be placed and a boat conveying mechanism 21 for conveying the two boats 3 alternatively between the boat-placing portion 19 and the first lid 17. A second lid 18 hermetically closes the opening 4a of the vertical thermal processing furnace 4 when the first lid 17 opens the opening 4a but no boat 3 passes through the opening 4a.
    Type: Grant
    Filed: October 23, 2001
    Date of Patent: December 17, 2002
    Assignee: Tokyo Electron Limited
    Inventor: Katsumi Ishii
  • Publication number: 20020182040
    Abstract: A substrate processing apparatus comprises a processing section for performing processing for a substrate, a substrate carrier transfer section into/out of which a substrate carrier holding a plurality of substrates is carried, and a substrate transfer mechanism for taking an unprocessed substrate out of the substrate carrier carried into the substrate carrier transfer section to deliver it to the processing section and for receiving a processed substrate from the processing section to deliver it into the substrate carrier placed on the substrate carrier transfer section. The substrate carrier transfer section shifts the position of the substrate carrier between a first position at which the substrate carrier is carried to/from the outside and a second position at which the substrate in the substrate carrier is delivered to/from the substrate transfer mechanism.
    Type: Application
    Filed: July 25, 2002
    Publication date: December 5, 2002
    Inventors: Yoshio Kimura, Issei Ueda, Mitiaki Matsushita, Kazuhiko Ito
  • Publication number: 20020164241
    Abstract: Methods for selectively moving a microelectronic-device substrate assembly in a processing machine having a first side, a second side opposite the first side, and a processing path extending from the first side to the second side. The processing machine can also include a cassette proximate to a second side of the processing station that moves to position a substrate at the processing path. In one aspect of the invention, the substrate handling apparatus includes a guide member attached to the processing machine, an arm slidably attached to the guide member, and a clamp attached to the arm. The guide member is generally fixedly attached to the processing machine, and the guide member generally has a shape corresponding to the processing path. The arm can include a first section moveably attached to the guide member to translate along the guide member, and a second section projecting from the first section to position at least a portion of the second section at least proximate to the processing path.
    Type: Application
    Filed: January 31, 2002
    Publication date: November 7, 2002
    Inventors: Sheldon Anderson, Tony Ibarra
  • Patent number: 6464445
    Abstract: A system and method for improved throughput of semiconductor wafer processing. In one aspect, a wafer carrier is provided having a flat zone capable of holding an additional lot of wafers for processing. In addition, a multiple fork wafer transfer mechanism is provided having a plurality of wafer forks for loading and unloading wafers in the wafer carrier at a reduced fork pitch.
    Type: Grant
    Filed: December 19, 2000
    Date of Patent: October 15, 2002
    Assignee: Infineon Technologies Richmond, LP
    Inventors: Brian M. Knapik, David K. Lawson, Gregory O'Lyn Proctor
  • Publication number: 20020146309
    Abstract: The invention concerns a method and an apparatus for the transfer of supports (2) of electronic and/or computer components in the form of disks.
    Type: Application
    Filed: July 13, 2001
    Publication date: October 10, 2002
    Applicant: R2D INGENIERIE S.A.
    Inventor: Robert Di Cesare
  • Patent number: 6461094
    Abstract: In a loading and unloading station for semiconductor processing installations, the object of the present invention is to ensure charging proceeding from transporting containers under clean room conditions. These transporting containers themselves serve as magazines for disk-shaped objects and are open laterally. It should also be possible, optionally, to load and unload a greater quantity of such transporting containers, wherein the exchange of transporting containers must be effected under favorable ergonomic conditions. According to the invention, the transporting container for loading, unloading and reloading of disk-shaped objects is coupled in a stationary manner by the container cover with the closure by means of an adhering engagement. The charging opening and the transporting container are opened simultaneously in that the container cover and the closure are moved down jointly into the semiconductor processing installation.
    Type: Grant
    Filed: February 2, 2000
    Date of Patent: October 8, 2002
    Assignee: Jenoptik AG
    Inventors: Andreas Mages, Werner Scheler, Herbert Blaschitz, Alfred Schulz, Heinz Schneider
  • Patent number: 6450755
    Abstract: A substrate handling robot includes an arm drive mechanism. A first arm is connected to the arm drive mechanism. A multiple substrate batch loader is connected to the first arm. A second arm is also connected to the arm drive mechanism. A single plane end effector is connected to the second arm. The multiple substrate batch loader produces a vacuum signal indicative of how many substrates are held by the multiple substrate batch loader. A vacuum signal interpreter alters the movement of the first arm in response to the substrate load number. An object sensor is connected to the second arm. The object sensor assesses the number of substrates in a cassette adjacent to the multiple substrate batch loader. A substrate loading sequence controller controls the first arm and the second arm in response to the number of substrates in the cassette, such that the second arm removes substrates from the cassette in such a manner as to facilitate complete loading of the multiple substrate batch loader.
    Type: Grant
    Filed: July 10, 1998
    Date of Patent: September 17, 2002
    Assignee: Equipe Technologies
    Inventors: James A. Cameron, Steven G. Reyling
  • Patent number: 6439822
    Abstract: A substrate processing apparatus comprises a processing section for performing processing for a substrate, a substrate carrier transfer section into/out of which a substrate carrier holding a plurality of substrates is carried, and a substrate transfer mechanism for taking an unprocessed substrate out of the substrate carrier carried into the substrate carrier transfer section to deliver it to the processing section and for receiving a processed substrate from the processing section to deliver it into the substrate carrier placed on the substrate carrier transfer section. The substrate carrier transfer section shifts the position of the substrate carrier between a first position at which the substrate carrier is carried to/from the outside and a second position at which the substrate in the substrate carrier is delivered to/from the substrate transfer mechanism.
    Type: Grant
    Filed: September 22, 1999
    Date of Patent: August 27, 2002
    Assignee: Tokyo Electron Limited
    Inventors: Yoshio Kimura, Issei Ueda, Mitiaki Matsushita, Kazuhiko Ito
  • Publication number: 20020071750
    Abstract: The processing status of a plurality of semiconductor wafers undergoing processing is positively identified by the use of indicator flags associated with cassettes containing the wafers. The flags are moved between at least two processing state indicating positions during processing of the wafers by a robotic arm that also transfers the wafers between the cassettes and a wafer processing station.
    Type: Application
    Filed: December 8, 2000
    Publication date: June 13, 2002
    Applicant: Adept Technology, Inc.
    Inventor: Eric Nering
  • Patent number: 6390754
    Abstract: A closed-type cassette is mounted on a cassette stand disposed in a working region at a position corresponding to an opening formed in a wall separating the working region from a loading region. When the cassette is mounted on the cassette stand, a detecting device gives a signal to that effect to a controller. Then, the controller closes a valve to interrupt nitrogen gas supply into the loading region. A lid of the cassette is opened 20 to 30 sec after the interruption of nitrogen gas supply into the loading region and, then, nitrogen gas supply is resumed. The pressure difference between the loading region and the interior of the cassette is decreased by interrupting nitrogen gas supply into the loading region, so that the lid can be easily opened.
    Type: Grant
    Filed: May 18, 1998
    Date of Patent: May 21, 2002
    Assignee: Tokyo Electron Limited
    Inventors: Kenichi Yamaga, Yuji Ono, Masahiro Miyashita, Osamu Tanigawa
  • Publication number: 20020044860
    Abstract: A processing system includes a transfer part (4) having a container disposed therein for performing taking out therefrom of a not-yet-processed object and loading therein the already-processed object; processing parts (11 through 18) for performing predetermined processing on the object; and a plurality of conveying units (21, 22) conveying the object between a first position for delivery of the object with the container disposed in the transfer part and a second position for delivery of the object with the processing part, wherein: each of the plurality of conveying units can move between the first position and second position; a moving path of the conveying units includes a plurality of paths each along an arrangement of the processing parts; and each of the conveying units can solely perform delivery of the object with the processing part on both sides or the transfer part.
    Type: Application
    Filed: May 8, 2001
    Publication date: April 18, 2002
    Inventors: Yoshinobu Hayashi, Mitsuyuki Yamaguchi, Yasushi Kodashima
  • Publication number: 20020037210
    Abstract: A substrate processing apparatus includes a process tube for processing a plurality of substrates, two boats for accommodating the substrates, two boat elevators, a substrate transfer unit for loading and unloading the substrates into and from the boats when the boats are at the first position. In this apparatus, each boat elevator has one boat mounted thereon and each of the boat elevators carries a corresponding boat between a first position located below the process tube and two corresponding second positions. Each of the boat elevators performs loading and unloading the corresponding boat into and from the process tube at the first position. Further, in the apparatus, a center position of the process tube is disposed inside a triangle formed by connecting the substrate transfer unit and the two boat elevators.
    Type: Application
    Filed: September 5, 2001
    Publication date: March 28, 2002
    Applicant: Hitachi Kokusai Electric Inc.
    Inventors: Tatsuhisa Matsunaga, Kouichi Noto
  • Publication number: 20020009022
    Abstract: A CD transporter includes a CD gripper for gripping a top CD off a vertical stack of CD's is provided. The CD gripper includes an actuatable gripping member and at least one stationary gripping member, each of which includes a hub engaging surface. The actuatable gripping member also includes a CD separating protrusion for separating the top CD from the CD immediately therebelow.
    Type: Application
    Filed: June 5, 2001
    Publication date: January 24, 2002
    Inventors: Todd A. Britz, Robert P. Cummins
  • Publication number: 20010051086
    Abstract: An automated feed mechanism (2) for retrieving a desired wafer assembly (20). The automated feed mechanism (2) has an elevator assembly (12) for storing a plurality of wafer assemblies (20) and the elevator assembly (12) is driven to facilitate retrieval of the desired wafer assembly (20). A pick and place assembly (16) retrieves electronic components (22), from a retrieved wafer assembly (20), and transports each retrieved electronic component (22) to a shuttle assembly (18). The shuttle assembly (18) comprises first and second shuttle platforms (34, 36), with one of the shuttle platforms (34 or 36) located adjacent the pick and place assembly (16) for loading electronic components (22) thereon, and the second shuttle platform (36 or 34) located at a dispensing position (D) for retrieval of the previously loaded electronic components (22) by an automated assembly machine (3).
    Type: Application
    Filed: March 13, 2001
    Publication date: December 13, 2001
    Inventors: Brian Blades, Rodney P. Jackson, James L. Dowling, Lawrence F. Roberts
  • Publication number: 20010051088
    Abstract: A wafer transfer apparatus loads and unloads wafers into and from a wafer cassette. The apparatus includes an arm for picking up a wafer, a motor-driven mechanism connected to the arm for moving the arm vertically and horizontally, and a sensor for sensing when the lower surface of the arm contacts an upper surface of a wafer already seated in the wafer cassette. The sensor is made up of a sensor body, a controller, and an amplifier. The arm can be made of metal, in which case the sensor body includes an elastic layer coated on the lower surface of the arm, and an electrically conductive metal layer formed on the elastic layer. When the lower surface of the arm presses against a wafer, the metal layer contacts the metal arm and electrical signals indicative of such contact can thus be produced. Alternatively, the arm may be made of a ceramic.
    Type: Application
    Filed: February 9, 2001
    Publication date: December 13, 2001
    Inventors: Young Kyou Park, Rae Sam Park
  • Patent number: 6318948
    Abstract: This invention related to a substrate transfer apparatus having an arm holder moving into and out of a cassette while a substrate is mounted thereon, a forward and backward driving mechanism for moving the arm holder forward and backward, and a contact support member for supporting the substrate in contact with a lower surface peripheral portion of the substrate placed on the arm holder, said contact support member comprising a first defining portion for defining a front end of the substrate placed on the arm holder, and a second defining portion facing the first defining portion, for defining a rear end of the substrate placed on the arm holder.
    Type: Grant
    Filed: May 14, 1999
    Date of Patent: November 20, 2001
    Assignee: Tokyo Electron Limited
    Inventors: Issei Ueda, Tadayuki Yamaguchi
  • Patent number: 6318944
    Abstract: A semiconductor fabricating apparatus having a vertical reaction furnace, a boat for holding plural wafers in a multi-layered fashion and being loaded into the vertical reaction furnace, a storage disposed at a location corresponding to the boat for storing at least one of the wafer cassettes, a wafer transfer device for transferring the wafer between the storage and the boat, a cassette transfer unit for transferring the wafer cassettes between the apparatus and outside thereof, a cassette transfer device for effecting the transfer of the wafer cassettes between the cassette transfer unit and the storage, and a plurality of cassette shelves disposed within a range allowing transfer of the wafer cassettes from the cassette transfer device for receiving the wafer cassettes in upwardly-oriented positions.
    Type: Grant
    Filed: June 4, 1996
    Date of Patent: November 20, 2001
    Assignee: Kokusai Electric Co., Ltd.
    Inventors: Kazuhiro Shimeno, Kouji Tometsuka, Shigeo Ohba
  • Patent number: 6318945
    Abstract: A substrate processing apparatus substrate transport and load lock assembly comprising a first load lock, a first substrate elevator, and a transport robot. The substrate elevator has a first vertical drive and a first substrate support connected to the vertical drive. The support is vertically movable by the vertical drive along a path including a first position outside of the load lock and a second position inside the load lock. The transport robot has a movable arm for supporting at least one substrate thereon. The arm is movable into and out of the path while the support is located in the load lock.
    Type: Grant
    Filed: July 28, 1999
    Date of Patent: November 20, 2001
    Assignee: Brooks Automation, Inc.
    Inventor: Christopher A. Hofmeister
  • Patent number: 6318957
    Abstract: The invention is a carrier comprising three support elements connected by an underlying frame. The periphery of a wafer rests upon the support elements. The invention also comprises a wafer handler with a plurality of arms. Spacers space the carrier above a base plate associated with a station in a wafer handling area. An arm slides beneath the frame and between the spacers, but the handler does not contact the wafer. A method of using the handler and carrier is provided where the handler lifts and rotates the carrier with the wafer through various stations in a wafer handling area. A control device reduces the handler speed only at critical points of the processing cycle. The handler is capable of moving a plurality of carriers and wafers simultaneously.
    Type: Grant
    Filed: February 24, 1999
    Date of Patent: November 20, 2001
    Assignee: ASM America, Inc.
    Inventors: Paul R. Carr, Paul T. Jacobson, James F. Kusbel, James S. Roundy, Ravinder K. Aggarwal, Ivo Raaijmakers, Rod Lenz, Nilesh Rajbharti
  • Publication number: 20010038783
    Abstract: A substrate processing equipment comprises two pod supporting stages and two independently operable pod door openers. Each pod supporting stage is capable of placing thereon a pod for containing substrates therein. Each pod door openers having means for permitting access to the substrates inside the pod placed on a corresponding pod supporting stage.
    Type: Application
    Filed: April 16, 2001
    Publication date: November 8, 2001
    Applicant: Hitachi Kokusai Electric Inc.
    Inventors: Takanobu Nakashima, Tatsuhisa Matsunaga, Hidehiro Yanagawa
  • Publication number: 20010014271
    Abstract: A transfer arm and apparatus including such a transfer arm. The arm includes a pushing mechanism to properly locate wafers in a wafer carrier prior to transfer. The transfer apparatus includes a vertically disposed sensor to detect misalignment of the wafers in the wafer carrier.
    Type: Application
    Filed: April 29, 1999
    Publication date: August 16, 2001
    Inventors: SUK-KY SI, CHOI DUG-KYU