Including Wafer Orienting Means Patents (Class 414/936)
  • Patent number: 11929277
    Abstract: A pre-aligner for pre-aligning a wafer having a notch. The pre-aligner includes a wafer platform having a wafer receiving surface, and a drive device. A detector is provided to detect the notch, and a memory is provided to store a notch window defining a range of angles in which the notch is predicted to be located in relation to a start position. A controller performs a pre-alignment operation where the wafer is rotated from the start position to an alignment location. The controller performs the operation such that the wafer is rotated at maximum acceleration/deceleration values from the start position to a notch location detected by the detector: where the operation is limited to a maximum velocity for rotation of the wafer from the start position to a notch window; and where the operation is limited to a scanning velocity within the notch window until the notch location is detected.
    Type: Grant
    Filed: August 28, 2020
    Date of Patent: March 12, 2024
    Assignees: KABUSHIKI KAISHA YASKAWA DENKI, YASKAWA AMERICA, INC.
    Inventors: John Charles Rogers, Margaret Kathleen Swiecicki
  • Patent number: 11263755
    Abstract: The present disclosure provides an alert device and an alert method. The alert device includes an image capturing unit, an input/output unit and a processing unit. The image capturing unit is configured to capture at least one image of a wafer transportation system. The processing unit is configured to: retrieve the at least one image from the image capturing unit; define a first boundary in the at least one image; identify a wafer chuck of the wafer transportation system in the at least one image; determine whether the wafer chuck intersects the first boundary in the at least one image; and transmit an alert signal to the wafer transportation system via the input/output unit when the wafer chuck is determined to intersect the first boundary in the at least one image.
    Type: Grant
    Filed: July 17, 2020
    Date of Patent: March 1, 2022
    Assignee: NANYA TECHNOLOGY CORPORATION
    Inventors: Shyue-Ru Doong, Feng-Ju Tsai
  • Patent number: 11158079
    Abstract: Disclosed are a substrate treating apparatus, an eccentricity inspection apparatus, an eccentricity inspection method, and a recording medium for measuring the amount of eccentricity of a spin chuck. The substrate treating apparatus includes a process chamber, a support unit that supports a substrate and rotates the substrate about a support shaft of a spin chuck, and an eccentricity inspection device that inspects eccentricity of the support shaft. The eccentricity inspection device includes an image acquisition unit that obtains an image of the substrate supported on the support unit and an eccentricity measurement unit that obtains edge data of the substrate from the image of the substrate and measures an amount of eccentricity of the support shaft, based on the edge data.
    Type: Grant
    Filed: November 15, 2019
    Date of Patent: October 26, 2021
    Assignee: Semes Co., Ltd.
    Inventors: Ohyeol Kwon, Soo Young Park, Jihyun Lee, Young Ho Choo
  • Patent number: 11156993
    Abstract: A method and system for improving an automated pick and place apparatus semiconductor device placement process is provided. The method includes automatically executing a shift measurement associated with an offset from an original placement of a plurality of semiconductor die of a semiconductor wafer for processing. An associated shift measurement value is retrieved and stored in a database that includes previously retrieved shift measurement values of previously measured shift measurements. Specified models are executed with respect to all shift measurement values and a predicted shift measurement value associated with a future offset for a new plurality of semiconductor die on a new semiconductor wafer for processing is determined. Placement hardware of the pick and place apparatus is placed in multiple positions for generating the new plurality of semiconductor die on the new semiconductor wafer in accordance with the predicted shift measurement value.
    Type: Grant
    Filed: June 2, 2017
    Date of Patent: October 26, 2021
    Assignee: UNIVERSAL INSTRUMENTS CORPORATION
    Inventors: Charles Andrew Coots, John Joseph Pichura, Maxim Factourovich
  • Patent number: 11069051
    Abstract: A method and apparatus for locating the center of a substrate are provided. The substrate-positioning system uses an array of visible light sources to illuminate the substrate and its edges. The light sources are non-laser in nature and typically emit in the visible spectrum. The light sources are typically LEDs so that the individual elements may be switched-on or switched-off extremely rapidly, which allows for multiple images to be taken using different light sources at any given substrate rotation position. The substrate-positioning system further includes an image sensor array with the ability to process data rapidly, which allows for the digitization (quantization) of each pixel being viewed. Algorithms analyze the values for patterns and determine the true edge position at each rotational angle of the substrate. The systems and methods described herein are able to locate the center of various types of substrates composed of different materials and/or edge types.
    Type: Grant
    Filed: February 13, 2020
    Date of Patent: July 20, 2021
    Assignee: Applied Materials, Inc.
    Inventor: Ronald Vern Schauer
  • Patent number: 10816962
    Abstract: A process control device includes a deadlock determination part that determines whether or not a deadlock occurrence situation occurs when a work process that is being executed and a work process scheduled to be executed next are executed simultaneously by referring to process constraint information in which a plurality of work processes and each work state of a plurality of process execution elements of a manufacturing device are associated with each other, and a process execution control part that delays an execution timing of the work process scheduled to be executed next when the deadlock determination part has determined that the deadlock occurrence situation occurs.
    Type: Grant
    Filed: December 14, 2018
    Date of Patent: October 27, 2020
    Assignee: OMRON Corporation
    Inventors: Hiromi Sasaki, Kenichiro Mori, Hiroshi Yoshida
  • Patent number: 10391607
    Abstract: An object is to provide a single-wafer processing single-side polishing method and a single-wafer processing single-side polishing apparatus, which increase the flatness of a semiconductor wafer and reduce variations in flatness. The single-wafer processing single-side polishing method includes a polishing step of polishing a semiconductor wafer; and a shifting step of transferring the semiconductor wafer from a polishing plate to a tray outside the polishing plate, moving the relative position of the semiconductor wafer and the polishing head in the rotation direction of the polishing head, and then holding the semiconductor wafer with the polishing head. The polishing step is performed a plurality of times, and the shifting step is performed at least once between the plurality of polishing steps.
    Type: Grant
    Filed: October 6, 2015
    Date of Patent: August 27, 2019
    Assignee: SUMCO CORPORATION
    Inventor: Tomonori Kawasaki
  • Patent number: 9786533
    Abstract: Provided is a substrate processing apparatus which can efficiently transfer substrates using a conveying mechanism including a plurality of substrate holding members. The substrate processing apparatus transfers a processed substrate to an intermediate conveying unit using a transport mechanism when the processed substrate returns to a substrate receiving unit.
    Type: Grant
    Filed: August 1, 2012
    Date of Patent: October 10, 2017
    Assignee: Tokyo Electron Limited
    Inventor: Tomohiro Kaneko
  • Patent number: 9687957
    Abstract: An apparatus for processing a substrate is disclosed. The apparatus includes a polishing section configured to polish a substrate, a transfer mechanism configured to transfer the substrate, and a cleaning section configured to clean and dry the polished substrate. The cleaning section has plural cleaning lines for cleaning plural substrates. The plural cleaning lines have plural cleaning modules and plural transfer robots for transferring the substrates.
    Type: Grant
    Filed: October 31, 2014
    Date of Patent: June 27, 2017
    Assignee: Ebara Corporation
    Inventors: Mitsuru Miyazaki, Seiji Katsuoka, Naoki Matsuda, Junji Kunisawa, Kenichi Kobayashi, Hiroshi Sotozaki, Hiroyuki Shinozaki, Osamu Nabeya, Shinya Morisawa, Takahiro Ogawa, Natsuki Makino
  • Patent number: 9389608
    Abstract: The present invention provides loading and unloading method and device for a cooling buffer in a precise length measuring machine. The loading and unloading method contains the steps of: S1: triggering a timer after a glass substrate is loaded into an empty slot of the cooling buffer having the highest priority; and S2: after the timer reaches a first time T1, starting the loading of a glass substrate into another empty slot having the next highest priority. The loading and unloading method and device disclosed by the present invention, through the design of delayed loading and unloading glass substrates into and from the cooling buffer, enhances the intelligence of the cooling buffer, ensures the uniformity of the cooling intervals of the glass substrates, improves the measurement precision, satisfies operator's advanced operational requirement, and achieves high utilization of the precise length measuring machine.
    Type: Grant
    Filed: January 9, 2014
    Date of Patent: July 12, 2016
    Assignee: Shenzhen China Star Optoelectronics Technology Co., Ltd
    Inventor: Wende Huang
  • Patent number: 9022716
    Abstract: A work conveying system sequentially conveys a workpiece to multiple working apparatuses and includes a rail track disposed along the multiple working apparatuses and multiple conveyor robots disposed on the rail track and movable on the rail track independently. In addition, a delivery control unit controls the multiple conveyor robots for delivering the workpiece between adjacent conveyor robots. Any one of the multiple conveyor robots can be controlled for isolated individual movement on the rail track between adjacent working apparatuses to sequentially deliver the workpiece.
    Type: Grant
    Filed: April 26, 2011
    Date of Patent: May 5, 2015
    Assignee: Canon Kabushiki Kaisha
    Inventor: Kazunori Yabe
  • Patent number: 9018065
    Abstract: A method and apparatus are provided for recessing a channel region of the PFET and epitaxially growing channel SiGe in the recessed region inside of a horizontally oriented processing furnace. Embodiments include forming an n-channel region and a p-channel region in a front side of a wafer and at least one additional wafer, the n-channel and p-channel regions corresponding to locations for forming an NFET and a PFET, respectively; placing the wafers inside a horizontally oriented furnace having a top surface and a bottom surface, with the wafers oriented vertically between the top and bottom surfaces; recessing the p-channel regions of the wafers inside the furnace; and epitaxially growing cSiGe without hole defects in the recessed p-channel regions inside the furnace.
    Type: Grant
    Filed: May 8, 2012
    Date of Patent: April 28, 2015
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Joanna Wasyluk, Yew Tuck Chow, Stephan Kronholz, Lindarti Purwaningsih, Ines Becker
  • Patent number: 9004838
    Abstract: An apparatus for characterizing a wafer comprising an aligner comprising a chuck for receiving and rotating the wafer, a sensor for detecting the position of the wafer as it is rotated, a first actuator for lowering and raising the wafer vertically, and a second actuator for moving the chuck horizontally; and a weighing scale comprising a weight sensor disposed proximate to the aligner, and a cantilevered arm extending laterally from the weight sensor over the chuck of the aligner, the cantilevered arm having a through hole surrounding the chuck. The chuck is vertically movable relative to the weighing scale from a first position in which the wafer is supported by the chuck to a second position in which the wafer is supported by the cantilevered arm of the weighing scale. A method for characterizing a wafer using the instant apparatus is also disclosed.
    Type: Grant
    Filed: March 31, 2012
    Date of Patent: April 14, 2015
    Assignee: Microtronic, Inc.
    Inventors: Reiner G. Fenske, David S. Denu
  • Patent number: 8992746
    Abstract: An apparatus for anodizing substrates immersed in an electrolyte solution. A substrate holder mounted in a storage tank includes a first support unit having first support elements for supporting, in a liquid-tight condition, only lower circumferential portions of the substrates, and a second support unit attachable to and detachable from the first support unit and having second support elements for supporting, in a liquid-tight condition, remaining circumferential portions of the substrates. A drive mechanism separates the first support unit and the second support unit when loading and unloading the substrates, and for connecting the first support unit and the second support unit after the substrates are placed in the substrate holder.
    Type: Grant
    Filed: December 2, 2011
    Date of Patent: March 31, 2015
    Assignees: Dainippon Screen Mfg. Co., Ltd., Solexel, Inc.
    Inventors: Yasuyoshi Miyaji, Noriyuki Hayashi, Takamitsu Inahara, Takao Yonehara, Karl-Josef Kramer, Subramanian Tamilmani
  • Patent number: 8983659
    Abstract: A robot system according to the embodiments includes a robot that includes a hand including a gripping mechanism that grips a thin plate-shaped work and an arm that moves the hand, and a robot control apparatus that controls the robot. The robot control apparatus, when causing the robot to transfer the work at a predetermined work transfer position by controlling the robot, performs a presence/absence confirmation of the work by operating the gripping mechanism while causing the hand to retract after the hand reaches the work transfer position.
    Type: Grant
    Filed: November 14, 2012
    Date of Patent: March 17, 2015
    Assignee: Kabushiki Kaisha Yaskawa Denki
    Inventor: Yoshiki Kimura
  • Patent number: 8920097
    Abstract: A wafer handling system includes providing a loadlock tray assembly having a plurality of vertically-stacked loadlock trays; and mounting nipples around each of the plurality of vertically-stacked loadlock trays for supporting a plurality of wafers, each of the nipple having a flat top.
    Type: Grant
    Filed: November 1, 2007
    Date of Patent: December 30, 2014
    Assignee: GLOBALFOUNDRIES Singapore Pte. Ltd.
    Inventor: Soon Chye Chan
  • Patent number: 8899402
    Abstract: A positioning jig has a circular part with a diameter that is identical with a diameter of a semiconductor wafer and knock-pins for connecting a periphery of base plate around an opening in a base plate of a conveying tool for a semiconductor wafer. These knock-pins are respectively provided in four blades protruded from predetermined positions of the circular portion at least three directions. When adjusting the projection positions of supporting plates, the knock-pins are fitted into the opening of the base plate of the conveying tool for the semiconductor wafer. The supporting plates moves so that the knock-pins come into contact with an outer edge of the circular part of the prepared positioning jig. The adjusted supporting plates are then fixed on the base plate. The semiconductor wafer is mounted on the adjusted and fixed supporting plates so that the semiconductor wafer is positioned.
    Type: Grant
    Filed: October 30, 2013
    Date of Patent: December 2, 2014
    Assignee: Senju Metal Industry Co., Ltd.
    Inventor: Toshihiko Mutsuji
  • Patent number: 8888869
    Abstract: Provided herein are methods, apparatuses and systems for fabricating photovoltaic cells and modules. In certain embodiments, the methods, apparatuses and systems involve coating ferromagnetic substrates with thin film solar cell materials and using magnetic force to constrain, move or otherwise manipulate partially fabricated cells or modules. According to various embodiments, the methods, apparatuses and systems provide magnetically actuated handling throughout a photovoltaic cell or module fabrication process, from forming photovoltaic cell layers on a substrate to packaging the module for transport and installation. The magnetically manipulated processing provides advantages over conventional photovoltaic module processing operations, including fewer mechanical components, greater control over placement and tolerances, and ease of handling. As a result, the methods, apparatuses and systems provide highly efficient, low maintenance photovoltaic module fabrication processes.
    Type: Grant
    Filed: September 15, 2011
    Date of Patent: November 18, 2014
    Assignee: Hanergy Holding Group Ltd.
    Inventors: Bruce Krein, Darin Birtwhistle, Jeff Thompson, William Sanders, Paul Alexander
  • Patent number: 8807318
    Abstract: A multi-generational carrier platform is configured to carry substrate carriers of different sizes depending on processing needs. Multiple carrier adaptors are provided on one side of a support plate, and substrate carriers can be distributed among the carrier adaptors to mount a maximum number of substrates under the constraint of non-overlap of the substrates and the substrate carriers. The multi-generational carrier platform can be configured to provide rotation to each substrate carrier mounted thereupon, and is compatible with chemical mechanical planarization processes that require rotation of substrates against an abrasive surface. The multi-generational carrier platform facilitates maximum utilization of a processing area provided by a tool configured to process substrates of different sizes.
    Type: Grant
    Filed: September 20, 2011
    Date of Patent: August 19, 2014
    Assignee: International Business Machines Corporation
    Inventor: Michael F. Lofaro
  • Patent number: 8794427
    Abstract: A multi-generational carrier platform is configured to carry substrate carriers of different sizes depending on processing needs. Multiple carrier adaptors are provided on one side of a support plate, and substrate carriers can be distributed among the carrier adaptors to mount a maximum number of substrates under the constraint of non-overlap of the substrates and the substrate carriers. The multi-generational carrier platform can be configured to provide rotation to each substrate carrier mounted thereupon, and is compatible with chemical mechanical planarization processes that require rotation of substrates against an abrasive surface. The multi-generational carrier platform facilitates maximum utilization of a processing area provided by a tool configured to process substrates of different sizes.
    Type: Grant
    Filed: September 6, 2012
    Date of Patent: August 5, 2014
    Assignee: International Business Machines Corporation
    Inventor: Michael F. Lofaro
  • Patent number: 8776363
    Abstract: A method for supporting a semiconductor wafer includes providing a device wafer to a magnetizable ring, providing a magnetizable carrier to the device wafer, and magnetizing the magnetizable ring and the magnetizable carrier to form a magnetized clamp having a magnetized ring and magnetized carrier. The magnetized clamp securely clamps the device wafer therebetween.
    Type: Grant
    Filed: May 23, 2012
    Date of Patent: July 15, 2014
    Assignee: United Microelectronics Corp.
    Inventors: Chang-Sheng Hsu, Li-Che Chen, Kuo-Yuh Yang, Chia-Wen Lien, Yan-Da Chen
  • Patent number: 8755935
    Abstract: A substrate holder positioning method, capable of positioning a substrate holder without using any positioning jig, includes: measuring a first position of a substrate held on a substrate holder included in a substrate carrying mechanism; carrying the substrate held on the substrate holder to a substrate rotating unit for holding and rotating the substrate; turning the substrate held by the substrate rotating unit through a predetermined angle by the substrate rotating unit; transferring the substrate turned by the substrate rotating unit from the substrate rotating unit to the substrate holder; measuring a second position of the substrate transferred from the substrate rotating unit to the substrate holder; determining the position of the center of rotation of the substrate rotating unit on the basis of the first and the second position; and positioning the substrate holder on the basis of the position of the center of rotation.
    Type: Grant
    Filed: February 27, 2012
    Date of Patent: June 17, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Yuichi Douki, Tokutarou Hayashi, Naruaki Iida, Suguru Enokida
  • Patent number: 8740535
    Abstract: In a vacuum transfer chamber, a position detecting mechanism for detecting the positions of semiconductor wafers is arranged. The semiconductor wafers disposed at predetermined positions in a load lock chamber and vacuum processing chambers are transferred to the position detecting mechanism by a vacuum transfer mechanism and the positions of the wafers are detected. Then, based on the detection results, aligning between the load lock chamber and the vacuum processing chambers is performed.
    Type: Grant
    Filed: April 4, 2011
    Date of Patent: June 3, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Keisuke Kondoh, Hiroshi Koizumi
  • Patent number: 8728939
    Abstract: A single-crystal substrate is placed on a supporting table while maintaining crystalline orientation of the single-crystal substrate. The single-crystal substrate has contacting regions on a periphery of an upper surface of the single-crystal substrate. Linear contacting surfaces of contacting pins are placed in contact with the contacting regions of the single-crystal substrate placed on the supporting table. Longitudinal directions on the contacting surfaces of all the contacting pins are not parallel to intersecting lines of the upper surface of the single-crystal substrate and cleaved surfaces of the single-crystal substrate.
    Type: Grant
    Filed: February 7, 2013
    Date of Patent: May 20, 2014
    Assignee: Mitsubishi Electric Corporation
    Inventors: Kazuhiro Maeda, Koichiro Nishizawa
  • Patent number: 8715418
    Abstract: A semiconductor processing system and related methodology is disclosed and which includes a processing chamber having an internal cavity and a transfer port; a transfer chamber which is positioned adjacent to the processing chamber; and a transfer apparatus having at least two extendible arms which are positioned within the transfer chamber, and wherein each of the extendible arms carry a semiconductor work piece into and out of the processing chamber by way of the transfer port, and wherein the at least two extendible arms are selectively vertically moveable, and further are each selectively moveable in the direction of the transfer port.
    Type: Grant
    Filed: May 24, 2006
    Date of Patent: May 6, 2014
    Assignee: Advanced Micro-Fabrication Equipment, Inc. Asia
    Inventor: AiHua Chen
  • Patent number: 8677929
    Abstract: Disclosed are methods and apparatus for masking of substrates for deposition, and subsequent lifting of the mask with deposited material. Masking materials are utilized that can be used in high temperatures and vacuum environment. The masking material has minimal outgassing once inside a vacuum chamber and withstand the temperatures during deposition process. The mask is inkjeted over the wafers and, after deposition, removed using agitation, such as ultrasonic agitation, or using laser burn off.
    Type: Grant
    Filed: December 27, 2011
    Date of Patent: March 25, 2014
    Assignee: Intevac, Inc.
    Inventors: Alexander J. Berger, Terry Bluck, Vinay Shah, Judy Huang, Karthik Janakiraman, Chau T. Nguyen, Greg Stumbo
  • Patent number: 8636882
    Abstract: Disclosed is a producing method of a semiconductor device, comprising: loading a substrate into a reaction furnace; forming a film on the substrate in the reaction furnace; unloading the substrate from the reaction furnace after the film has been formed; and forcibly cooling an interior of the reaction furnace in a state where the substrate does not exist in the reaction furnace after the substrate has been unloaded.
    Type: Grant
    Filed: March 16, 2009
    Date of Patent: January 28, 2014
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Kenichi Suzaki, Jie Wang
  • Patent number: 8600150
    Abstract: A wafer aligning apparatus includes a laser sensor that generates a trigger signal, a CCD camera imaging a wafer in response to the trigger signal, a signal processing unit that calculates a center alignment correction value for the wafer, and a robot controller that receives the center alignment correction value to control movement of a transfer robot. The laser sensor generates the trigger signal in accordance with a change in reflected light detected by the laser sensor, the change in the amount of reflected light being detected by the laser sensor when a boundary between a blade of the transfer robot and a coupler of the transfer robot passes under the laser sensor.
    Type: Grant
    Filed: October 8, 2010
    Date of Patent: December 3, 2013
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Heok-Jae Lee, Sang-Ho Kim, Hyu-Rim Park, Do-In Bae, Kee-Weone Seo, Chang-Woo Woo
  • Patent number: 8591700
    Abstract: The present disclosure is directed to a susceptor support that includes a hub and a plurality of arms extending radially from the hub, where each arm has a terminal end positioned away from the hub. The susceptor support also includes a plurality of elongated rectangular tips formed at the terminal end of each arm, each tip having a length and a width, wherein the length is greater than the width.
    Type: Grant
    Filed: August 19, 2010
    Date of Patent: November 26, 2013
    Assignee: STMicroelectronics Pte Ltd.
    Inventors: Roy G. Gatchalian, Joseph Gregorio Soriano, Hee Cher Heng
  • Patent number: 8585115
    Abstract: A system and method are disclosed for removing horizontally oriented substrates from a cassette. A substrate lifter has an engagement end for engaging a substrate and an adjustment end for engaging an adjustment assembly. The engagement end includes a recess having first and second arcuate sidewalls configured to engage an OD of the substrate, and a circular protrusion positioned between the first and second arcuate sidewalls. The circular protrusion allows lateral movement of the substrate up to a predetermined amount and prevents lateral movement of the substrate in excess of the predetermined amount. Other embodiments are described and claimed.
    Type: Grant
    Filed: October 7, 2011
    Date of Patent: November 19, 2013
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventors: John Robert Fairhurst, Jeffrey E. Krampert, Richard J. Hertel, Richard Muka
  • Patent number: 8550031
    Abstract: Embodiments of the invention generally include a robot assembly comprising a robot operable to position a substrate at one or more points within a plane, and a motion assembly having a motor operable to position the robot in a direction generally parallel to a first direction. The motion assembly comprises a robot support interface having the robot coupled thereto, and one or more walls that form an interior region in which the motor is enclosed. The walls define an elongated opening through which the robot support interface travels, and the motor is operable to move the robot support interface laterally in the elongated opening. The motion assembly further comprises one or more fan assemblies that are in fluid communication with the interior region. The fan assemblies are operable to create a subatmospheric pressure in the interior region thereby causing gas to flow through the elongated opening into the interior region.
    Type: Grant
    Filed: June 15, 2012
    Date of Patent: October 8, 2013
    Assignee: Applied Materials, Inc.
    Inventors: Tetsuya Ishikawa, Rick J. Roberts, Helen R. Armer, Leon Volfovski, Jay D. Pinson, Michael Rice, David H. Quach, Mohsen S. Salek, Robert Lowrance, John A. Backer, William Tyler Weaver, Charles Carlson, Chongyang Wang, Jeffrey Hudgens, Harald Herchen, Brian Lue
  • Patent number: 8544317
    Abstract: A method and apparatus provide for simultaneously moving multiple semiconductor wafers in opposite directions while simultaneously performing processing operations on each of the wafers. The semiconductor wafers are orientated in coplanar fashion and are disposed on stages that simultaneously translate in opposite directions to produce a net system momentum of zero. The die of the respective semiconductor wafers are processed in the same spatial sequence with respect to a global alignment feature of the semiconductor wafer. A balance mass is not needed to counteract the motion of a stage because the opposite motions of the respective stages cancel each other.
    Type: Grant
    Filed: October 9, 2009
    Date of Patent: October 1, 2013
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Yu-Fu Lin, Yung-Cheng Chen, Heng-Jen Lee, Chin-Hsiang Lin
  • Patent number: 8545165
    Abstract: A substrate aligner providing minimal substrate transporter extend and retract motions to quickly align substrate without back side damage while increasing the throughput of substrate processing. In one embodiment, the aligner having an inverted chuck connected to a frame with a substrate transfer system capable of transferring substrate from chuck to transporter without rotationally repositioning substrate. The inverted chuck eliminates aligner obstruction of substrate fiducials and along with the transfer system, allows transporter to remain within the frame during alignment. In another embodiment, the aligner has a rotatable sensor head connected to a frame and a substrate support with transparent rest pads for supporting the substrate during alignment so transporter can remain within the frame during alignment. Substrate alignment is performed independent of fiducial placement on support pads.
    Type: Grant
    Filed: July 11, 2005
    Date of Patent: October 1, 2013
    Assignee: Brooks Automation, Inc.
    Inventors: Jairo T. Moura, Martin Hosek, Todd Bottomley, Ulysses Gilchrist
  • Patent number: 8485772
    Abstract: An apparatus for arranging disks in a processing cassette includes a first conveyor configured to transfer a shipping cassette containing a plurality of disks to a first position and a comb assembly configured to displace one or more of the plurality of disks from the shipping cassette in the first position. A mandrel assembly is configured to remove the one or more displaced disks from the comb assembly and a turntable assembly is configured to rotate the comb assembly and the shipping cassette from the first position to a second position. The mandrel assembly is further configured to return the one or more displaced disks to the comb assembly and the comb assembly is further configured to replace the one or more displaced disks in the shipping cassette in the second position.
    Type: Grant
    Filed: February 24, 2010
    Date of Patent: July 16, 2013
    Assignee: Western Digital Technologies, Inc.
    Inventors: Rosulan Bin Ismail, Prakash Poobalan, Robert M. Tierney, Safri Husin
  • Patent number: 8470403
    Abstract: An organic thin film deposition device that is compact and high in processing capability is provided. Inside a vacuum chamber, first and second substrate arrangement devices that can be in a horizontal posture and a standing posture are provided; and when in the standing posture, substrates held by the respective substrate arrangement devices and first and second organic vapor discharging devices face each other. When one of the substrate arrangement devices is in the horizontal posture, masks and the substrates are lifted up by alignment pins and transfer pins and are replaced with a substrate not yet film formed, for position adjustment. With one organic thin film deposition device, two substrates can be processed at the same time.
    Type: Grant
    Filed: May 18, 2012
    Date of Patent: June 25, 2013
    Assignee: Ulvac, Inc.
    Inventors: Masato Fukao, Hiroshi Kikuchi, Yoshio Sunaga
  • Patent number: 8462009
    Abstract: A system and method for introducing a substrate into a process chamber is provided. A presence or absence of a substrate on a stage in an apparatus for manufacturing a semiconductor or a flat panel display may be determined by lift pins used for loading and unloading a substrate, the introduction of another substrate may be prevented and a broken state or the erroneously loaded state of the substrate may be detected. An opening or closing of a gate valve may also be determined, and the introduction of a substrate into the process chamber may be prevented while the gate valve is closed.
    Type: Grant
    Filed: February 25, 2010
    Date of Patent: June 11, 2013
    Assignee: ADP Engineering Co., Ltd.
    Inventor: In Taek Lee
  • Patent number: 8462008
    Abstract: A system and method for introducing a substrate into a process chamber is provided. A presence or absence of a substrate on a stage in an apparatus for manufacturing a semiconductor or a flat panel display may be determined by lift pins used for loading and unloading a substrate, the introduction of another substrate may be prevented and a broken state or the erroneously loaded state of the substrate may be detected. An opening or closing of a gate valve may also be determined, and the introduction of a substrate into the process chamber may be prevented while the gate valve is closed.
    Type: Grant
    Filed: February 25, 2010
    Date of Patent: June 11, 2013
    Assignee: ADP Engineering Co., Ltd.
    Inventor: In Taek Lee
  • Patent number: 8434989
    Abstract: Modular wafer transport and handling facilities are combined in a variety of ways deliver greater levels of flexibility, utility, efficiency, and functionality in a vacuum semiconductor processing system. Various processing and other modules may be interconnected with tunnel-and-cart transportation systems to extend the distance and versatility of the vacuum environment. Other improvements such as bypass thermal adjusters, buffering aligners, batch processing, multifunction modules, low particle vents, cluster processing cells, and the like are incorporated to expand functionality and improve processing efficiency.
    Type: Grant
    Filed: February 14, 2008
    Date of Patent: May 7, 2013
    Assignee: Brooks Automation, Inc.
    Inventor: Peter van der Meulen
  • Patent number: 8420555
    Abstract: A manufacturing method for a semiconductor device including: determining pattern dependency of a radiation factor of an element forming surface of one wafer having a predetermined pattern formed on the wafer; determining a heating surface of the wafer, based on the pattern dependency of the radiation factor; holding the one wafer having the determined heating surface and another wafer having a determined heating surface, spaced at a predetermined distance in such a manner that non-heating surfaces of the one wafer and the another wafer oppose to each other; and heating the each heating surface of the one wafer and the another wafer.
    Type: Grant
    Filed: January 19, 2011
    Date of Patent: April 16, 2013
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Masaki Kamimura, Kenichi Yoshino
  • Patent number: 8408158
    Abstract: A coating/developing device includes a processing block having a plurality of coating unit blocks stacked and a developing unit block stacked on the coating unit blocks. Each of the unit blocks is provided with a liquid processing unit for coating a liquid chemical on a substrate, a heating unit for heating the substrate, a cooling unit for cooling the substrate and a transfer unit for transferring the substrate between the units. The liquid processing unit is provided with a coating unit for coating a resist liquid on the substrate, a first bottom antireflection coating (BARC) forming unit for coating a liquid chemical for a BARC on the substrate before the resist liquid is coated thereon, and a second BARC forming unit for coating a liquid chemical for the BARC on the substrate after the resist liquid is coated thereon.
    Type: Grant
    Filed: March 9, 2006
    Date of Patent: April 2, 2013
    Assignee: Tokyo Electron Limited
    Inventors: Masami Akimoto, Shinichi Hayashi, Yasushi Hayashida, Nobuaki Matsuoka, Yoshio Kimura, Issei Ueda, Hikaru Ito
  • Patent number: 8403619
    Abstract: In accordance to an exemplary embodiment of the disclosed embodiments, a substrate aligner apparatus is presented, the substrate aligner apparatus having a frame adapted to allow a substrate transporter to transport a substrate to and from the aligner apparatus, an inverted chuck capable of holding the substrate and movably connected to the frame by a chuck driveshaft engaged to the inverted chuck for moving the inverted chuck relative to the frame and effecting alignment of the substrate, a sensing device located between the chuck and chuck driveshaft for detecting a position determining feature of the substrate, and a substrate transfer mechanism movably connected to the frame and located inside the frame below the inverted chuck for moving the substrate from the inverted chuck to the substrate transporter.
    Type: Grant
    Filed: February 18, 2011
    Date of Patent: March 26, 2013
    Assignee: Brooks Automation, Inc.
    Inventors: Jairo Terra Moura, Martin Hosek, Todd Bottomley, Ulysses Gilchrist
  • Patent number: 8357588
    Abstract: A workpiece machining method includes attaching a workpiece to a workpiece support with the aid of joining means. The workpiece and the workpiece support are joined to one another by an annular joining means. The composite produced is machined. The machined workpiece is separated from the workpiece support.
    Type: Grant
    Filed: February 22, 2011
    Date of Patent: January 22, 2013
    Assignee: Infineon Technologies AG
    Inventors: Stephen Bradl, Walther Grommes, Werner Kröninger, Michael Melzl, Josef Schwaiger, Thilo Stache
  • Patent number: 8353503
    Abstract: An edge alignment control apparatus for correcting vertical alignment of sheet members is disclosed to include two base units each having multiple first power drives controllable to reciprocate a respective horizontal reciprocating member, and adjustment units arranged between the base units, each adjustment unit having two holder blocks connected to the horizontal reciprocating members of the base units at one same elevation and movable by the respective horizontal reciprocating members horizontally, sheet-transfer rollers pivotally connected between the holder blocks, a bearing board connected between the holder blocks for receiving a sheet member from the sheet-transfer rollers and a suction hood suspending above the bearing board and defining with the bearing board a passage for the passing of a sheet member and controllable to suck up a sheet member from the bearing board for allowing adjustment of the position of the sheet member horizontally subject to the operation of the first power drive.
    Type: Grant
    Filed: October 14, 2009
    Date of Patent: January 15, 2013
    Inventor: Tzu-Che Lin
  • Patent number: 8226142
    Abstract: A workpiece gripping integrity device and method are provided having a charge-transfer sensing device configured to detect a change in charge associated with a gripper arm assembly based on a grip condition thereof. The charge-transfer sensing device can be configured to detect a change in capacitance between the gripper arm assembly and ground, wherein the change in capacitance is based on a grip condition of the gripper arm assembly associated with a plurality of grippers contacting the workpiece.
    Type: Grant
    Filed: July 23, 2008
    Date of Patent: July 24, 2012
    Assignee: Axcelis Technologies, Inc.
    Inventors: Joseph D. Gillespie, Sor Kham, Robert E. Wayne
  • Patent number: 8221045
    Abstract: A semiconductor manufacturing apparatus and a wafer loading/unloading method thereof increase productivity.
    Type: Grant
    Filed: January 8, 2009
    Date of Patent: July 17, 2012
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jun-Sig Park, Jung-Hyeon Kim, Jin-Ho Shin, Gennady Ivanov
  • Patent number: 8215262
    Abstract: Embodiments generally provide an apparatus and method for processing substrates using a multi-chamber processing system (e.g., a cluster tool) that has an increased system throughput, increased system reliability, substrates processed in the cluster tool have a more repeatable wafer history, and also the cluster tool has a smaller system footprint. In one embodiment, a cluster tool for processing a substrate includes a first processing rack, a first robot assembly and a second robot assembly operable to transfer substrates to substrate processing chambers in the first processing rack, and a horizontal motion assembly. The horizontal motion assembly includes one or more walls that form an interior region in which a motor is enclosed. The one or more walls defining an elongated opening through which a robot support interface travels, the robot support interface supporting a robot of the horizontal motion assembly.
    Type: Grant
    Filed: October 20, 2008
    Date of Patent: July 10, 2012
    Assignee: Applied Materials, Inc.
    Inventors: Tetsuya Ishikawa, Rick J. Roberts, Helen R. Armer, Leon Volfovski, Jay D. Pinson, Michael Rice, David H. Quach, Mohsen S. Salek, Robert Lowrance, John A. Backer, William Tyler Weaver, Charles Carlson, Chongyang Wang, Jeffrey Hudgens, Harald Herchen, Brian Lu
  • Patent number: 8215890
    Abstract: A method and system for aligning robotic wafer transfer systems provides a wafer cassette having one or more wafer slots having portions covered with an electrically conductive material and a sensor that is in electrical communication with the electrically conductive material. When a wafer is loaded into a wafer cassette such as may be contained within a wafer transfer module such as a FOUP, an indication of position is delivered to the sensor which detects the alignment and indicates if the loaded wafer undesirably contacts either or both of the opposed grooves that form the wafer slot of the wafer cassette. An indication of the wafer's position may be provided from the sensor to a controller that delivers a signal for aligning the wafer transfer blade of the wafer transfer robot responsive to the signal indicative of position.
    Type: Grant
    Filed: March 12, 2009
    Date of Patent: July 10, 2012
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Kuo-Shu Tseng, Yi-Chang Sung, Chia-Chi Tsao, Chih-Che Lin
  • Patent number: 8181596
    Abstract: An apparatus for processing substrates using a multi-chamber processing system (e.g., a cluster tool) that has an increased system throughput, increased system reliability, a smaller system footprint, and a more repeatable wafer history. Embodiments provide for a cluster tool comprising first and second processing racks, each having two or more vertically stacked substrate processing chambers, a first robot assembly able to access the first processing rack from a first side, a second robot assembly able to access the first processing rack from a second side and the second processing rack from a first side, a third robot assembly able to access the second processing rack from a second side, and a fourth robot assembly able to access the first and second processing racks and to load substrates in a cassette.
    Type: Grant
    Filed: October 20, 2008
    Date of Patent: May 22, 2012
    Assignee: Applied Materials, Inc.
    Inventors: Tetsuya Ishikawa, Rick J. Roberts, Helen R. Armer, Leon Volfovski, Jay D. Pinson, Michael Rice, David H. Quach, Mohsen S. Salek, Robert Lowrance, John A. Backer, William Tyler Weaver, Charles Carlson, Chongyang Wang, Jeffrey Hudgens, Harald Herchen, Brian Lu
  • Patent number: 8166641
    Abstract: Disclosed are a holding tray, a substrate alignment system using the same and a method thereof. More specifically, the present invention relates to a holding tray for substrate capable of accomplishing high-precision alignment and conducting a stable deposition. A holding means is included in at least one side of the substrate to hold and support the substrate in a manner that the substrate is vertically held and supported on a flat surface of the holding tray during a vacuum process. The holding tray according to the present invention, the substrate alignment system using the same, and the method thereof include a substrate on which a deposition is made, a frame formed to receive the substrate, a tray formed to receive the frame, and at least one holding means formed to hold the substrate on the frame.
    Type: Grant
    Filed: January 5, 2006
    Date of Patent: May 1, 2012
    Assignee: Samsung Mobile Display Co., Ltd.
    Inventors: Sang-Jin Han, Kwan-Seop Song, Hee-Cheol Kang, Seok-Heon Jeong
  • Patent number: 8146530
    Abstract: Embodiments generally provide an apparatus and method for processing substrates using a multi-chamber processing system (e.g., a cluster tool) that has an increased system throughput, increased system reliability, substrates processed in the cluster tool have a more repeatable wafer history, and also the cluster tool has a smaller system footprint. Embodiments also provide for a method and apparatus that are used to improve the coater chamber, the developer chamber, the post exposure bake chamber, the chill chamber, and the bake chamber process results. Embodiments also provide for a method and apparatus that are used to increase the reliability of the substrate transfer process to reduce system down time.
    Type: Grant
    Filed: October 20, 2008
    Date of Patent: April 3, 2012
    Assignee: Applied Materials, Inc.
    Inventors: Tetsuya Ishikawa, Rick J. Roberts, Helen R. Armer, Leon Volfovski, Jay D. Pinson, Michael Rice, David H. Quach, Mohsen S. Salek, Robert Lowrance, John A. Backer, William Tyler Weaver, Charles Carlson, Chongyang Wang, Jeffrey Hudgens, Harald Herchen, Brian Lu