Electron Beam Imaging Patents (Class 430/296)
  • Patent number: 8043798
    Abstract: It is disclosed a method of forming fine patterns comprising: covering a substrate having photoresist patterns thereon made of a photoresist composition which is sensitive to high energy light rays with wavelength of 200 nm or shorter or electron beam radiation, with an over-coating agent for forming fine patterns, applying heat treatment to cause thermal shrinkage of the over-coating agent so that the spacing between adjacent photoresist patterns is lessened by the resulting thermal shrinking action, and removing the over-coating agent substantially completely. The present invention provides a method of forming fine patterns whereby fine patterns having pattern width or diameter of 100 nm or shorter and being excellent in uniformity (in-plane uniformity), etc. can be formed by ultrafine processing using high energy light rays with wavelength of 200 nm or shorter or electron beams.
    Type: Grant
    Filed: August 21, 2003
    Date of Patent: October 25, 2011
    Assignee: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Tsuyoshi Nakamura, Tasuku Matsumiya, Kiyoshi Ishikawa, Yoshiki Sugeta, Toshikazu Tachikawa
  • Patent number: 8039176
    Abstract: In the field of semiconductor production using shaped charged particle beam lithography, a method and system for fracturing or mask data preparation or proximity effect correction is disclosed, wherein a series of curvilinear character projection shots are determined for a charged particle beam writer system, such that the set of shots can form a continuous track, possibly of varying width, on a surface. A method for forming a continuous track on a surface using a series of curvilinear character projection shots is also disclosed. Methods for manufacturing a reticle and for manufacturing a substrate such as a silicon wafer by forming a continuous track on a surface using a series of curvilinear character projection shots is also disclosed.
    Type: Grant
    Filed: November 14, 2009
    Date of Patent: October 18, 2011
    Assignee: D2S, Inc.
    Inventors: Akira Fujimura, Michael Tucker
  • Publication number: 20110250540
    Abstract: A semiconductor lithography process. A photoresist film is coated on a substrate. The photoresist film is subjected to a flood exposure to blanket expose the photoresist film across the substrate to a first radiation with a relatively lower dosage. The photoresist film is then subjected to a main exposure using a photomask to expose the photoresist film in a step and scan manner to a second radiation with a relatively higher dosage. After baking, the photoresist film is developed.
    Type: Application
    Filed: April 12, 2010
    Publication date: October 13, 2011
    Inventors: Pei-Lin Huang, Chun-Yen Huang, Yi-Ming Wang
  • Publication number: 20110250539
    Abstract: A fluorinated monomer has formula (1) wherein R1 is H, F, methyl or trifluoromethyl, R2 and R3 are H or a monovalent hydrocarbon group, R4 to R6 each are a monovalent fluorinated hydrocarbon group, A is a divalent hydrocarbon group, and k1 is 0, 1 or 2. A polymer derived from the fluorinated monomer may be endowed with appropriate water repellency, water slip, acid lability and hydrolysis and is useful as an additive polymer in formulating a resist composition.
    Type: Application
    Filed: April 6, 2011
    Publication date: October 13, 2011
    Inventors: Masayoshi SAGEHASHI, Koji Hasegawa, Takeshi Sasami
  • Patent number: 8030626
    Abstract: An average write speed M is calculated by averaging write speeds for blocks of a tentative block size La, and write speed variation ? of the blocks with respect to the average write speed M is calculated (Step S12). A maximum speed Vmax is calculated by accelerating and then decelerating (or decelerating and then accelerating) a stage when moving the stage by the width of one of the blocks of the tentative block size La (Step S13). When the relationship “Vmax?M??” does not hold, the tentative block size La is increased (Step S15). When the relationship “Vmax?M??” holds, the tentative block size La is set as an optimal block size Lb (Step S16).
    Type: Grant
    Filed: February 12, 2010
    Date of Patent: October 4, 2011
    Assignee: NuFlare Technology, Inc.
    Inventor: Hidekazu Takekoshi
  • Patent number: 8031436
    Abstract: When performing writing on a substrate applied with a resist by rapidly vibrating electron beam in a direction orthogonal to a radial direction of the substrate and X-Y deflecting the electron beam while rotating the substrate in one direction, a long element is written by scanning the electron beam with the middle position of a 2-bit signal length as the center position of the electron beam so as to completely fill the area of the writing length reduced by a predetermined ratio and an unwritten portion of predetermined width remaining on each side of the long element with respect to a final 2-bit signal length on a magnetic disk medium.
    Type: Grant
    Filed: February 26, 2009
    Date of Patent: October 4, 2011
    Assignee: Fujifilm Corporation
    Inventors: Toshihiro Usa, Kazunori Komatsu
  • Publication number: 20110229699
    Abstract: The present invention relates to a structured monolayer that is composed of low-molecular aromatics and fully cross-linked in the lateral direction, the monolayer having a pattern of functional groups on one of the two surfaces, and to a method for preparing such a structured monolayer, as well as to the use thereof.
    Type: Application
    Filed: September 10, 2009
    Publication date: September 22, 2011
    Applicant: UNIVERSITAT BIELEFELD
    Inventors: Armin Golzhauser, Andre Beyer, Adelheid Godt
  • Patent number: 8017289
    Abstract: A method is disclosed in which a plurality of variable shaped beam (VSB) shots is used to form a desired pattern on a surface. In this method some shots within the plurality of shots overlap each other. Additionally, the union of any subset of the plurality of shots differ from the desired pattern. In some embodiments, dosages of the shots vary with respect to each other. In other embodiments, an optimization technique may be used to minimize shot count. In yet other embodiments, the plurality of shots may be optionally selected from one or more pre-computed VSB shots or groups of VSB shots. The method of the present disclosure may be used, for example, in the process of manufacturing an integrated circuit by optical lithography using a reticle, or in the process of manufacturing an integrated circuit using direct write.
    Type: Grant
    Filed: January 10, 2011
    Date of Patent: September 13, 2011
    Assignee: D2S, Inc.
    Inventors: Akira Fujimura, Lance Glasser
  • Patent number: 8017286
    Abstract: In the field of semiconductor device production, a method for manufacturing a surface using two-dimensional dosage maps is disclosed. A set of charged particle beam shots for creating an image on the surface is determined by combining dosage maps for a plurality of shots into the dosage map for the surface. A similar method is disclosed for fracturing or mask data preparation of a reticle image. A method for creating glyphs is also disclosed, in which a two-dimensional dosage map of one or more shots is calculated, and the list of shots and the calculated dosage map are stored for later reference.
    Type: Grant
    Filed: August 12, 2009
    Date of Patent: September 13, 2011
    Assignee: D2S, Inc.
    Inventors: Akira Fujimura, Harold Robert Zable
  • Patent number: 8017918
    Abstract: A charged-particle beam instrument (such as a transmission electron microscope) which facilitates modifying the diameters of aperture stops installed above and below (on the beam entrance and exit sides) the specimen chamber and exchanging the aperture stops. The instrument has bottom polepieces forming the specimen chamber, aperture stops each having plural holes, pushing mechanisms for pushing the aperture stops against the polepieces and supporting the stops, and stop drive mechanisms for sliding the aperture stops in a direction perpendicular to the path of the beam in response to a manipulation performed outside the electron optical column. The aperture stops are made of a metal foil or sheet and provide a cover over the opening of at least one beam passage hole in the polepieces that faces into the specimen chamber.
    Type: Grant
    Filed: August 13, 2008
    Date of Patent: September 13, 2011
    Assignee: JEOL Ltd.
    Inventor: Atsushi Kimura
  • Patent number: 8017288
    Abstract: A method for manufacturing a semiconductor device using a photomask and optical lithography is disclosed, wherein circular patterns on the semiconductor wafer are formed by using circular patterns on the photomask, which is manufactured using a charged particle beam writer. In one embodiment, circular patterns of varying sizes have been formed on the photomask using a single character projection (CP) character, by varying the charged particle beam dosage. A method for fracturing circular patterns is also disclosed, either using circular CP characters or using VSB shots wherein the union of the plurality of VSB shots is different than the set of desired patterns.
    Type: Grant
    Filed: August 12, 2009
    Date of Patent: September 13, 2011
    Assignee: D2S, Inc.
    Inventors: Akira Fujimura, Michael Tucker
  • Patent number: 8017218
    Abstract: The invention relates to an elastomeric device (105), wherein the elastomeric device contains a relief structure with indentations with respect to the base (110), (102) and wherein selected ones of said indentations comprise at least two indentation depths (112), (108) and indentation widths (101), (107). It also relates to a method of making a master for construction of said elastomeric device comprising a procedure to provide a pattern on a substrate of a suitable material. One step in. the construction of said elastomeric device comprises a molding procedure of an elastomer. Methods of using the elastomeric device in printing, fluidic control, sorting, lab-on-a-chip devices are also disclosed.
    Type: Grant
    Filed: March 8, 2006
    Date of Patent: September 13, 2011
    Assignee: Forskarpatent I Linkoping AB
    Inventors: Mahiar Hamedi, Kristofer Tvingstedt, Peter Asberg, Olle Inganas
  • Publication number: 20110217655
    Abstract: A novel approach to dry development of exposed photo resist is described in which a photo resist layer is exposed to a visible light source in order to remove the resist in the areas of exposure. The class of compounds used as the resist material, under the influence of the light source, undergoes a chemical/structural change such that the modified material becomes volatile and is thus removed from the resist surface. The exposure process is carried out for a time sufficient to ablate the exposed resist layer down to the layer below. A group of compounds found to be useful in this process includes aromatic calixarenes.
    Type: Application
    Filed: March 2, 2011
    Publication date: September 8, 2011
    Applicant: The Regents of the University of California
    Inventors: Deirdre Olynick, P. James Schuck, Martin Schmidt
  • Patent number: 8012418
    Abstract: A specified time is determined which is a worst-case (or the longest) time period assumed as a time interval required between the instant at which an interface block receives an exposed substrate from an exposure unit and the instant at which a post-exposure bake process of the substrate starts in a heating part. A waiting time is calculated by subtracting a transport time from the specified time. The transport time is a time interval actually required between the instant at which the interference block receives the substrate from the exposure unit and the instant at which the substrate reaches the heating part. The post-exposure bake process of the substrate starts in the heating part after a lapse of the above-mentioned calculated waiting time since the arrival of the substrate at the heating part.
    Type: Grant
    Filed: September 13, 2006
    Date of Patent: September 6, 2011
    Assignee: Dainippon Screen Mfg. Co., Ltd.
    Inventor: Tetsuya Hamada
  • Patent number: 8011807
    Abstract: The invention relates to a method for enabling transmission of substantially equal amounts of energy from at least one light source (LS) comprising intensity variations in time to at least two light sensitive points (LSP), said transmission being controlled by means of at least one illumination arrangement (1), and said method comprising establishment of a correlation between said intensity variations and at least one feature of said illumination arrangement. The invention furthermore relates to an illumination arrangement (1) for controlling transmission of energy to at least two light sensitive points (LSP), wherein said controlling transmission enables transmission of substantially equal amounts of energy to each of said at least two light sensitive points (LSP).
    Type: Grant
    Filed: May 5, 2004
    Date of Patent: September 6, 2011
    Assignee: Sign-Tronic AG
    Inventors: Niels Borgbjerg Sejersen, Niels Holm Larsen, Henrik Glent-Madsen
  • Publication number: 20110212390
    Abstract: A chemically amplified negative resist composition is provided comprising (A) an alkali-soluble base polymer, (B) an acid generator, and (C) a nitrogen-containing compound, the base polymer (A) turning alkali insoluble under the catalysis of acid. A polymer having a fluorinated carboxylic acid onium salt on a side chain is included as the base polymer. Processing the negative resist composition by a lithography process may form a resist pattern with advantages including uniform low diffusion of acid, improved LER, and reduced substrate poisoning.
    Type: Application
    Filed: February 24, 2011
    Publication date: September 1, 2011
    Applicant: SHIN-ETSU CHEMICAL CO., LTD.
    Inventors: Keiichi Masunaga, Satoshi Watanabe, Jun Hatakeyama, Youichi Ohsawa, Daisuke Domon
  • Publication number: 20110207053
    Abstract: An exposure method includes generating a reticle exposure pattern based on a target pattern, performing a lithography simulation based on the reticle exposure pattern to generate a simulation pattern that simulates a resist pattern formed by reticle exposure, generating differential data between the target pattern and the simulation pattern, generating a first electron-beam exposure pattern based on the differential data, generating a reticle based on the reticle exposure pattern, performing an optical exposure process with respect to a resist by use of the reticle, and performing an electron-beam exposure process with respect to the resist based on the first electron-beam exposure pattern.
    Type: Application
    Filed: January 31, 2011
    Publication date: August 25, 2011
    Applicant: FUJITSU SEMICONDUCTOR LIMITED
    Inventors: Masahiko Minemura, Seiji Makino, Kanji Takeuchi, Noboru Sugiyama, Kozo Ogino
  • Publication number: 20110200919
    Abstract: A chemically amplified positive resist composition is provided comprising a polymer PB having an amine structure bound thereto and a polymer PA comprising recurring units having an acidic side chain protected with an acid labile protective group and recurring units having an acid generating moiety on a side chain.
    Type: Application
    Filed: February 15, 2011
    Publication date: August 18, 2011
    Inventors: Keiichi MASUNAGA, Satoshi WATANABE, Akinobu TANAKA, Daisuke DOMON
  • Patent number: 7998658
    Abstract: A first resist film is formed on a substrate, and first pattern exposure is performed such that the first resist film is irradiated with exposure light through a first mask. Then, the first resist film is developed, thereby forming a first resist pattern out of the first resist film. Subsequently, a nano-carbon material is attached to the surface of the first resist pattern, and then a second resist film is formed on the substrate including the first resist pattern. Thereafter, second pattern exposure is performed such that the second resist film is irradiated with exposure light through a second mask. Then, the second resist film is developed, thereby forming a second resist pattern out of the second resist film.
    Type: Grant
    Filed: April 26, 2010
    Date of Patent: August 16, 2011
    Assignee: Panasonic Corporation
    Inventors: Masayuki Endou, Masaru Sasago
  • Publication number: 20110193202
    Abstract: Apparatus and methods are disclosed herein for fabricating semiconductor device features with a half-pitch node of 22 nm and beyond using single exposure and single etch (1P1E) photolithography techniques. The method includes exposing in a single exposure a photoresist layer to the exposure source through a photolithography mask where the photolithography mask has on it an island pattern of a material having high percentage transmission. The photoresist layer is developed using a negative tone developer to form a hole pattern in the photoresist layer. The 1P1E does not require the second photo exposure of the double patterning method. Furthermore, the method circumvents the island pattern collapsing issues and the need for strong illumination associated with exiting single 1P1E processes.
    Type: Application
    Filed: February 5, 2010
    Publication date: August 11, 2011
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Vincent Yu, Shih-Che Wang, Chun-Kuang Chen
  • Publication number: 20110195359
    Abstract: A lithography method is disclosed. An exemplary lithography method includes providing an energy sensitive resist material on a substrate; providing a desired pattern; performing a lithography process on the substrate, wherein the lithography process includes exposing the energy sensitive resist material to a charged particle beam, such that the desired pattern is transferred to the energy sensitive resist material; and directing the charged particle beam from an off state to a defocus state, wherein the defocus state compensates for the backscattered energy, thereby reducing proximity effects.
    Type: Application
    Filed: February 5, 2010
    Publication date: August 11, 2011
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Shih-Ming Chang, Shy-Jay Lin
  • Patent number: 7993813
    Abstract: A manufacturing process technology creates a pattern on a first layer using a focused ion beam process. The pattern is transferred to a second layer, which may act as a traditional etch stop layer. The pattern can be formed on the second layer without irradiation by light through a reticle and without wet chemical developing, thereby enabling conformal coverage and very fine critical feature control. Both dark field patterns and light field patterns are disclosed, which may enable reduced or minimal exposure by the focused ion beam.
    Type: Grant
    Filed: November 21, 2007
    Date of Patent: August 9, 2011
    Assignee: NexGen Semi Holding, Inc.
    Inventors: Jeffrey Scott, Michael Zani, Mark Bennahmias, Mark Mayse
  • Publication number: 20110189607
    Abstract: There is disclosed a sulfonium salt represented by the following general formula (1). In the formula, X and Y each represents a group having a polymerizable functional group; Z represents a divalent hydrocarbon group having 1 to 33 carbon atoms optionally containing a hetero atom; R1 represents a divalent hydrocarbon group having 1 to 36 carbon atoms optionally containing a hetero atom; and R2 and R3 each represents a monovalent hydrocarbon group having 1 to 30 carbon atoms optionally containing a hetero atom or R2 and R3 may be bonded with each other to form a ring together with a sulfur atom in the formula. There can be provided a sulfonium salt usable as a resist composition providing high resolution and excellent in LER in photolithography using a high energy beam such as an ArF excimer laser, an EUV light and an electron beam as a light source, a polymer obtained from the sulfonium salt, a resist composition containing the polymer and a patterning process using the resist composition.
    Type: Application
    Filed: January 25, 2011
    Publication date: August 4, 2011
    Applicant: SHIN-ETSU CHEMICAL CO., LTD.
    Inventors: Masaki OHASHI, Satoshi WATANABE, Youichi OHSAWA, Keiichi MASUNAGA, Takeshi KINSHO
  • Publication number: 20110188037
    Abstract: Concentrator and locator device (1) of a solute comprising a substrate (2) and a plurality of prismatic lithographic micro-structures (4) orthogonally emerging from the substrate (2). The microstructures (4) are spaced from one another in a periodical manner so as to make such a substrate (2) super-hydrophobic.
    Type: Application
    Filed: August 28, 2009
    Publication date: August 4, 2011
    Applicant: CALMED S.R.L.
    Inventors: Enzo Mario Di Fabrizio, Giovanni Cuda, Federico Mecarini, Francesco De Angelis, Francesco Gentile
  • Publication number: 20110188801
    Abstract: A semiconductor hollow-core waveguide using high-contrast gratings or photonic crystal claddings and a method of manufacturing the same includes providing a layered semiconductor structure; creating an etching mask pattern over the layered semiconductor structure; performing a combined cycled directional etching process on the layered semiconductor structure in one sequence and in one lithography level to create a 3-dimensional waveguide structure; and creating a hollow air core in the layered semiconductor structure by removing to define a shape of the waveguide. The etching process comprises vertically etching a series of deep trenches on the layered semiconductor structure with precise control and varying the width of the trench. Furthermore, the hollow air core is created by removing a portion of the sacrificial material located in the center of the waveguide and under the waveguide.
    Type: Application
    Filed: February 4, 2010
    Publication date: August 4, 2011
    Inventor: Weimin Zhou
  • Publication number: 20110189609
    Abstract: According to one embodiment, an actinic-ray- or radiation-sensitive resin composition includes an arylsulfonium salt that when exposed to actinic rays or radiation, generates an acid, the arylsulfonium salt containing at least one aryl ring on which there are a total of one or more electron donating groups, the acid generated upon exposure to actinic rays or radiation having a volume of 240 ?3 or greater.
    Type: Application
    Filed: January 28, 2011
    Publication date: August 4, 2011
    Applicant: FUJIFILM Corporation
    Inventors: Takeshi Kawabata, Tomotaka Tsuchimura, Takayuki Ito
  • Patent number: 7989768
    Abstract: A scanning electron microscope having a charged particle beam that when in a state being irradiated toward a sample, a voltage is applied to the sample so that the charged particle beam does not reach the sample. The scanning electron microscope also detects information on a potential of a sample using a signal obtained, and a device for automatically adjusting conditions based on the result of measuring.
    Type: Grant
    Filed: August 8, 2008
    Date of Patent: August 2, 2011
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Akira Ikegami, Minoru Yamazaki, Hideyuki Kazumi, Koichiro Takeuchi, Hisaya Murakoshi
  • Publication number: 20110183265
    Abstract: Exemplary embodiments provide materials and methods for polymer-based fixing members that have a textured surface with reduced surface energy and increased surface hydrophobicity.
    Type: Application
    Filed: January 25, 2010
    Publication date: July 28, 2011
    Applicant: XEROX CORPORATION
    Inventors: Woo Soo Kim, Yu Qi, Nan-Xing Hu
  • Publication number: 20110183262
    Abstract: A positive resist composition is provided comprising an acid generator, a resin component which generates resin-solubilizing groups under the action of acid so that the resin component becomes soluble in an alkaline developer, at least some resin-solubilizing groups being carboxyl groups, and a compound for activating or condensing a carboxyl group. When processed by the lithography, the resist composition forms a resist pattern having a very high resolution and good mask fidelity.
    Type: Application
    Filed: January 25, 2011
    Publication date: July 28, 2011
    Inventors: Wataru Kusaki, Takeshi Kinsho, Takeru Watanabe
  • Patent number: 7985514
    Abstract: In the field of semiconductor production using shaped charged particle beam lithography, a method and system for fracturing or mask data preparation or proximity effect correction is disclosed, wherein a shot determined for a shaped charged particle beam writer system comprises dragging the charged particle beam across a surface during the shot, so as to form a complex pattern in a single, extended shot. The dragging may be done with either variable shaped beam (VSB) or character projection (CP) shots. Methods for specifying in the shot data the path for the dragged shot are also disclosed. Other embodiments include using dragged shots with partial projection, varying the dragging velocity during a shot, and combining dragged shots with conventional shots. A method and system for creating glyphs which contain dragged shots is also disclosed.
    Type: Grant
    Filed: October 21, 2009
    Date of Patent: July 26, 2011
    Assignee: D2S, Inc.
    Inventors: Akira Fujimura, Harold Robert Zable, Michael Tucker
  • Publication number: 20110177455
    Abstract: A polymer comprising recurring units of formula (1) and having a solubility in alkaline developer which increases under the action of an alkaline developer is provided. The polymer has transparency to radiation of up to 200 nm and improved water repellency, water slip, acid lability and hydrolysis and is useful as an additive polymer to formulate a resist composition. R1 is H, F, methyl, or trifluoromethyl, R2 is a monovalent fluorinated hydrocarbon group, An is a (n+1)-valent hydrocarbon or fluorinated hydrocarbon group, and n is 1, 2 or 3.
    Type: Application
    Filed: January 14, 2011
    Publication date: July 21, 2011
    Inventors: Yuji HARADA, Takeru Watanabe, Takeshi Sasami, Yuuki Suka, Koji Hasegawa
  • Publication number: 20110177454
    Abstract: First, a resist film is formed on a substrate from a resist material including cyclic oligomer which does not contain any acid-labile group, is soluble in alkali, and is a trimer or a higher multimer; a molecular compound containing an acid-labile group; a photoacid generator; and no polymer. Then, pattern exposure is performed by selectively irradiating the formed resist film with exposure light of extreme ultraviolet. The resist film after the pattern exposure is heated, and then, the heated resist film is developed to form a resist pattern from the resist film.
    Type: Application
    Filed: April 4, 2011
    Publication date: July 21, 2011
    Applicant: PANASONIC CORPORATION
    Inventors: Masayuki Endou, Masaru Sasago
  • Patent number: 7981575
    Abstract: A method for optical proximity correction (OPC) of a desired pattern for a substrate is disclosed in which a plurality of variable shaped beam (VSB) shots are determined which can form on a surface an OPC-corrected version of the desired substrate pattern. Shots within the plurality of VSB shots are allowed to overlap each other. Dosages of the shots may also be allowed to vary with respect to each other. The union of the plurality of shots may deviate from the OPC-corrected version of the desired pattern for the substrate. In some embodiments, optimization may be used to minimize shot count. In other embodiments, the plurality of shots may be optionally selected from one or more pre-computed VSB shots or groups of VSB shots, that is, glyphs. A method for creating glyphs is also disclosed, in which patterns that would result on a surface from one or a group of VSB shots are pre-calculated.
    Type: Grant
    Filed: May 27, 2009
    Date of Patent: July 19, 2011
    Assignee: DS2, Inc.
    Inventors: Akira Fujimura, Lance Glasser
  • Publication number: 20110171577
    Abstract: An actinic ray-sensitive or radiation-sensitive resin composition includes any of the compounds of general formula (I) below; wherein: Ar represents an aromatic ring that may have a substituent other than the -(A-B) groups; n is an integer of 1 or greater; A represents any one, or a combination of two or more members selected from a single bond, an alkylene group, —O—, —S—, —C(?O)—, —S(?O)—, —S(?O)2— and —OS(?O)2—, provided that —C(?O)O— is excluded; B represents a group containing a hydrocarbon group having 4 or more carbon atoms wherein either a tertiary or a quaternary carbon atom is contained, when n is 2 or greater, the two or more -(A-B) groups may be identical to or different from each other; and M+ represents an organic onium ion.
    Type: Application
    Filed: March 24, 2011
    Publication date: July 14, 2011
    Applicant: FUJIFILM CORPORATION
    Inventors: Tomotaka TSUCHIMURA, Koji SHIRAKAWA, Toru TSUCHIHASHI, Hideaki TSUBAKI
  • Patent number: 7977018
    Abstract: In the exposure data preparation method for charged particle beam exposure in which an exposure object is exposed while dose is adjusted for each pattern, the method including the steps of: classifying a pattern in terms of a target linewidth; setting a standard characteristic showing the relationship between a standard dose and a resultant linewidth of a resist pattern for a group of patterns having the target linewidth; and preparing exposure data by correcting a shape and dose so that a characteristic showing the relationship between dose of each pattern having the target linewidth and a resultant linewidth of a resist pattern follows the standard characteristic.
    Type: Grant
    Filed: December 11, 2008
    Date of Patent: July 12, 2011
    Assignee: Fujitsu Semiconductor Limited
    Inventors: Kozo Ogino, Yasuhide Machida
  • Patent number: 7972751
    Abstract: The invention relates to an extreme ultraviolet photolithography mask, operating in reflection, the mask comprising a substrate, a mirror structure deposited uniformly on the substrate, and an absorbent layer which is absorbent at the operating wavelength of the mask and is deposited on top of the mirror structure and etched in a desired masking pattern. The absorbent layer contains indium among its principal constituents.
    Type: Grant
    Filed: December 4, 2006
    Date of Patent: July 5, 2011
    Assignee: Commissariat a l'Energie Atmoique
    Inventor: Christelle Charpin-Nicolle
  • Patent number: 7972764
    Abstract: When writing elements of a fine pattern on a substrate applied with a resist by scanning an electron beam on the substrate, the electron beam is scan controlled so as to completely fill the shape of each of the elements by vibrating the electron beam rapidly in a radius direction of the rotation stage and at the same time deflecting in a direction orthogonal to the radius direction of the rotation stage faster than a rotational speed thereof, thereby sequentially writing the elements.
    Type: Grant
    Filed: November 25, 2008
    Date of Patent: July 5, 2011
    Assignee: Fujifilm Corporation
    Inventors: Kazunori Komatsu, Toshihiro Usa
  • Publication number: 20110159429
    Abstract: Provided are radiation-sensitive polymers and compositions which may be used in photolithographic processes. The polymers and compositions provide enhanced sensitivity to activating radiation.
    Type: Application
    Filed: December 30, 2010
    Publication date: June 30, 2011
    Applicant: Rohm and Haas Electronic Materials LLC
    Inventors: James W. THACKERAY, Emad Aqad
  • Publication number: 20110159434
    Abstract: In the field of semiconductor production using charged particle beam lithography, a method and system for fracturing or mask data preparation or proximity effect correction is disclosed, wherein base dosages for a plurality of exposure passes are different from each other. Methods for manufacturing a reticle and manufacturing an integrated circuit are also disclosed, wherein a plurality of charged particle beam exposure passes are used, with base dosage levels being different for different exposure passes.
    Type: Application
    Filed: December 26, 2009
    Publication date: June 30, 2011
    Applicant: D2S, INC.
    Inventors: Harold Robert Zable, Akira Fujimura
  • Publication number: 20110159436
    Abstract: In the field of semiconductor production using charged particle beam lithography, a method and system for fracturing or mask data preparation or proximity effect correction is disclosed, wherein a plurality of exposure passes are used, and where the sum of the base dosage levels for all of the exposure passes does not equal a normal dosage. Methods for manufacturing a reticle and manufacturing an integrated circuit are also disclosed, wherein a plurality of charged particle beam exposure passes are used, and where the sum of the base dosage levels for all of the exposure passes is different than a normal dosage.
    Type: Application
    Filed: December 26, 2009
    Publication date: June 30, 2011
    Applicant: D2S, Inc.
    Inventors: Harold Robert Zable, Akira Fujimura
  • Publication number: 20110159435
    Abstract: In the field of semiconductor production using charged particle beam lithography, a method and system for fracturing or mask data preparation or proximity effect correction is disclosed, in which the union of shots from one of a plurality of exposure passes is different than the union of shots from a different exposure pass. Methods for manufacturing a reticle and for manufacturing an integrated circuit are also disclosed, in which the union of shots from one of a plurality of charged particle beam exposure passes is different than the union of shots from a different exposure pass.
    Type: Application
    Filed: December 26, 2009
    Publication date: June 30, 2011
    Applicant: D2S, Inc.
    Inventors: Harold Robert Zable, Akira Fujimura
  • Patent number: 7968843
    Abstract: Method and apparatus capable of observing a liquid sample. An optical image of the sample and an image using a primary beam, such as an electron beam or charged-particle beam, can be obtained at the same time. The apparatus has a film including a first surface on which the liquid sample is held. The primary beam irradiation column and optical image acquisition viewer are located on opposite sides of the film that acts to block light.
    Type: Grant
    Filed: January 6, 2009
    Date of Patent: June 28, 2011
    Assignee: JEOL Ltd.
    Inventor: Hidetoshi Nishiyama
  • Patent number: 7968259
    Abstract: In a multi-project-chip semiconductor device, semiconductor elements fabricated on a wafer have a layout that corresponds to an exposure order of a pattern of the semiconductor elements and that is based on information indicating manufacture conditions and the number of shots and are arranged such that the semiconductor elements having the same manufacture condition are adjacent to each other in ascending or descending order of the number of shots.
    Type: Grant
    Filed: December 2, 2008
    Date of Patent: June 28, 2011
    Assignee: Fujitsu Semiconductor Limited
    Inventors: Hiromi Hoshino, Takashi Maruyama
  • Publication number: 20110151381
    Abstract: A fluorinated monomer has formula (1) wherein R1 is H, F, methyl or trifluoromethyl, R2 is a monovalent hydrocarbon group which may have halogen or oxygen, A is a divalent hydrocarbon group, and k1 is 0, 1 or 2. A polymer derived from the fluorinated monomer may be endowed with appropriate water repellency, water slip, acid lability and hydrolysis and is useful as an additive polymer in formulating a resist composition.
    Type: Application
    Filed: November 23, 2010
    Publication date: June 23, 2011
    Inventors: Koji HASEGAWA, Masayoshi Sagehashi, Taku Morisawa, Yuji Harada, Takao Yoshihara
  • Publication number: 20110151382
    Abstract: A method and apparatus for manufacturing a semiconductor device is disclosed. In particular, the application discloses a method that performs a lithography process using a material capable of increasing a depth of focus so as to prevent efficiency of the lithography process from being degraded due to high integration of a semiconductor device, and a pressure-type bake oven as an apparatus for forming a high refractive material on a semiconductor substrate, having advantages of reducing manufacturing costs of a semiconductor manufacturing process and increasing efficiency of the lithography process.
    Type: Application
    Filed: January 6, 2011
    Publication date: June 23, 2011
    Inventors: Hak Joon KIM, Jun Hyung Park
  • Patent number: 7965606
    Abstract: To provide a new recording method and recording apparatus with respect to an optical recording medium, the recording method and recording apparatus being able to deal with a high density of an optical recording medium of recent years. Recording of a recording track and a prepit is carried out by sequentially deflecting a single beam.
    Type: Grant
    Filed: January 22, 2010
    Date of Patent: June 21, 2011
    Assignee: Pioneer Corporation
    Inventor: Yoshiaki Kojima
  • Publication number: 20110143283
    Abstract: It is an object of the present invention to improve sensitivity of a resist made from hydrosilsesquioxane when a pattern is formed in the resist by irradiation with a charged particle beam. The method for improving sensitivity of a resist of the present invention is a method to improve sensitivity of a resist formed from hydrosilsesquioxane to a charged particle beam when a pattern is formed in the resist by irradiation with a charged particle beam, and is characterized by including prebaking a resist formed from hydrosilsesquioxane and applied onto a substrate at t° C. (20?t?300), applying a composition containing a water-soluble conductive polymer compound to a charged particle beam irradiation surface of the prebaked resist, baking the thus applied composition at T° C. (0?T<t+40) and then irradiating the resist with a charged particle beam.
    Type: Application
    Filed: August 20, 2009
    Publication date: June 16, 2011
    Applicant: SHOWA DENKO K.K.
    Inventors: Hirofumi Ohki, Ayako Nishioka, Takashi Ohkubo
  • Publication number: 20110132752
    Abstract: According to one embodiment, an electroforming master comprises a patterns of protrusions and recesses formed on one major surface of an Si substrate having two major surfaces, corresponding to information for positioning of a read/write head (a preamble, address, and burst), recording tracks or recording bits. Impurity ions are doped in the surface of this patterns of protrusions and recesses. The impurity ion concentration distribution in the film thickness direction of the Si substrate has a peak in a portion from the patterns of protrusions and recesses surface to a depth of 40 nm in the film thickness direction. The impurity concentration of this peak is 1×1020 to 2×1021 ions/cm3.
    Type: Application
    Filed: December 6, 2010
    Publication date: June 9, 2011
    Applicant: KABUSHIKI KAISHA TOSHIBA
    Inventors: Satoshi SHIROTORI, Akira WATANABE, Yoshiyuki KAMATA, Masatoshi SAKURAI
  • Publication number: 20110132754
    Abstract: According to one embodiment, patterns of protrusions and recesses includes a substrate including a conductive region on at least one major surface, and a projecting pattern layer formed on the conductive region on the major surface, and made of a microcrystalline material, a polycrystalline material, an amorphous material, or an oxide of the microcrystalline, polycrystalline, or amorphous material.
    Type: Application
    Filed: November 19, 2010
    Publication date: June 9, 2011
    Applicant: KABUSHIKI KAISHA TOSHIBA
    Inventors: Satoshi Shirotori, Yoshiyuki Kamata, Takuya Shimada, Masatoshi Sakurai
  • Publication number: 20110117582
    Abstract: Nanosubstrates as biosensors, methods of making such nanosubstrates, and methods of using such nanosubstrates to detect biomarkers are described.
    Type: Application
    Filed: September 2, 2010
    Publication date: May 19, 2011
    Applicant: NORTHEASTERN UNIVERSITY
    Inventors: Asanterabi MALIMA, Ahmed BUSNAINA, Salome SIAVOSHI, Sivasubramanian SOMU, Cihan YILMAZ, Tiziana MUSACCHIO, Jaydev UPPONI, Vladimir TORCHILIN