Electron Beam Imaging Patents (Class 430/296)
  • Publication number: 20130171560
    Abstract: Provided are an additive for resist represented by the following formula (1), and a resist composition containing the additive. The additive according to the present invention can suppress leaching caused by water during an immersion lithographic process by increasing hydrophobicity of the surface of the resist film in the exposure at the time of applying the additive to a resist composition, and can form a fine resist pattern having excellent sensitivity and resolution at the time of applying the additive to a resist composition. wherein the substituents respectively have the same meanings as defined above.
    Type: Application
    Filed: December 13, 2012
    Publication date: July 4, 2013
    Applicant: KOREA KUMHO PETROCHEMICAL CO., LTD.
    Inventor: KOREA KUMHO PETROCHEMICAL CO., LTD.
  • Publication number: 20130171570
    Abstract: The present invention provides a drawing apparatus including a stage having a reference mark, and configured to hold a substrate and to be moved, a charged particle optical system, a first measuring device having an optical axis spaced apart from an axis of the charged particle optical system by a first distance and configured to measure a position of an alignment mark formed on the substrate, a second measuring device having an optical axis spaced apart from the axis of the charged particle optical system by a second distance and configured to measure a position of the reference mark, and a processor configured to obtain a baseline of the first measuring device based on positions of the reference mark respectively measured by the first measuring device and the second measuring device.
    Type: Application
    Filed: December 20, 2012
    Publication date: July 4, 2013
    Applicant: CANON KABUSHIKI KAISHA
    Inventor: CANON KABUSHIKI KAISHA
  • Patent number: 8475980
    Abstract: A method of forming a semiconductor device can include determining a shot set including a plurality of shots, based on a final pattern used to form a mask. Shots included in the plurality shots can be classified as being in a first pass shot set or in a second pass shot set, where each can include a plurality of non-directly neighboring shots. A first pass exposure can be performed to radiate a reticle to provide the first pass shot set and a second pass exposure can be performed to radiate the reticle to provide the second pass shot set.
    Type: Grant
    Filed: August 26, 2011
    Date of Patent: July 2, 2013
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jin Choi, Byung-gook Kim, Hee-bom Kim, Sang-hee Lee
  • Publication number: 20130164684
    Abstract: A lithography apparatus performs writing on a substrate with a plurality of charged particle beams and includes a blanking deflector array for blanking the plurality of charged particle beams; an aperture array configured to block a charged particle beam deflected by the blanking deflector array; and a sealing mechanism configured to seal an opening of at least one of the blanking deflector array and the aperture array with a shielding material that shields a charged particle beam.
    Type: Application
    Filed: December 27, 2012
    Publication date: June 27, 2013
    Applicant: CANON KABUSHIKI KAISHA
    Inventor: CANON KABUSHIKI KAISHA
  • Publication number: 20130164674
    Abstract: Disclosed are an acrylic monomer having a structure represented by formula (1), a polymer containing a repeating unit derived from the acrylic monomer, and a resist composition prepared by using the polymer, which exhibits excellent adhesiveness, storage stability, and enhanced line width roughness, exhibits excellent resolution in both C/H patterns and L/S patterns, has an excellent process window so that an excellent pattern profile can be obtained regardless of the type of the substrate, and exhibits improved contrast.
    Type: Application
    Filed: December 21, 2012
    Publication date: June 27, 2013
    Applicant: KOREA KUMHO PETROCHEMICAL CO., LTD.
    Inventor: KOREA KUMHO PETROCHEMICAL CO., LTD.
  • Patent number: 8470515
    Abstract: A method of forming an etch mask includes: providing a substrate having thereon a material layer to be etched; forming a hard mask layer consisting of a radiation-sensitive, single-layer resist material on the material layer; exposing the hard mask layer to actinic energy to change solvent solubility of exposed regions of the hard mask layer; and subjecting the hard mask layer to water treatment to remove the exposed regions of the hard mask layer, thereby forming a masking pattern consisting of unexposed regions of the hard mask layer.
    Type: Grant
    Filed: September 15, 2011
    Date of Patent: June 25, 2013
    Assignee: Nanya Technology Corp.
    Inventors: Tse-Yao Huang, Yi-Nan Chen, Hsien-Wen Liu
  • Publication number: 20130157195
    Abstract: A molecular glass compound includes (A) a tetrameric reaction product of a specific aromatic compound having at least one hydroxy group, and a specific polycyclic or fused polycyclic aromatic aldehyde; and (B) an acid-removable protecting group as an adduct with the hydroxy group of the aromatic compound and/or a hydroxy group of the polycyclic or fused polycyclic aromatic aldehyde. A photoresist composition including the molecular glass compound, and a coated substrate including a layer of the photoresist composition are also disclosed.
    Type: Application
    Filed: September 21, 2012
    Publication date: June 20, 2013
    Applicant: ROHM AND HAAS ELECTRONIC MATERIALS LLC
    Inventor: Rohm and Haas Electronic Materials LLC
  • Publication number: 20130157198
    Abstract: A multi charged particle beam writing apparatus according to an embodiment, includes a setting unit to set a second region such that more openings in remaining openings except for an opening through which the defective beam passes are included in the second region, a selection unit to select a mode from a first mode in which a pattern is written on a target object by using multiple beams having passed openings in the second region and a second mode in which multiple writing is performed while shifting a position by using at least one of remaining multiple beams in the state where the defective beam is controlled to be beam off and additional writing is performed for a position which was supposed to be written by the defective beam, and a writing processing control unit to control to write in the mode selected.
    Type: Application
    Filed: December 6, 2012
    Publication date: June 20, 2013
    Applicant: NuFlare Technology, Inc.
    Inventor: NuFlare Technology, Inc.
  • Patent number: 8465903
    Abstract: Methods for forming photoresists sensitive to radiation on a substrate are provided. Described are chemical vapor deposition methods of forming films (e.g., silicon-containing films) as photoresists using a plasma which may be exposed to radiation to form a pattern. The deposition methods utilize precursors with cross-linkable moieties that will cross-link upon exposure to radiation. Radiation may be carried out in the with or without the presence of oxygen. Exposed or unexposed areas may then be developed in an aqueous base developer.
    Type: Grant
    Filed: October 6, 2011
    Date of Patent: June 18, 2013
    Assignee: Applied Materials, Inc.
    Inventors: Timothy W. Weidman, Timothy Michaelson, Paul Deaton, Nitin K. Ingle, Abhijit Basu Mallick, Amit Chatterjee
  • Patent number: 8465884
    Abstract: A method of depicting a photomask using e-beams includes preparing a photomask having an e-beam resist, depicting the e-beam resist and forming an e-beam resist pattern on the photomask. Depicting the e-beam resist includes irradiating e-beams to an e-beam depiction region without irradiating the e-beams to an e-beam non-depiction region disposed in the e-beam depiction region. The e-beam depiction region and the e-beam non-depiction region are formed using an e-beam resist pattern having the same polarity.
    Type: Grant
    Filed: December 21, 2010
    Date of Patent: June 18, 2013
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jin Choi, Sang-Hee Lee, Rae-Won Yi
  • Publication number: 20130149493
    Abstract: A chemically amplified negative resist composition is provided comprising (A) a resin having a crosslinking group, (B) a crosslinker, (C) a photoacid generator capable of generating an acid upon exposure to light of wavelength 190-500 nm, (D) a solvent, and (E) a fluoroalkyl-containing amine compound. The resist composition can form a fine pattern, specifically a fine hole or space pattern which has a positive taper (or forward taper) profile in which the size of top is greater than the size of bottom or improves the overhang profile with extremely projected top.
    Type: Application
    Filed: December 5, 2012
    Publication date: June 13, 2013
    Applicant: SHIN-ETSU CHEMICAL CO., LTD.
    Inventor: SHIN-ETSU CHEMICAL CO., LTD.
  • Publication number: 20130149647
    Abstract: A holding apparatus holds a substrate. The apparatus includes a base having burls that support the substrate, a pool whose capacity is variable and from which a liquid is to be supplied into a gap between the base and the substrate supported by the burls, and a regulator configured to regulate the capacity of the pool.
    Type: Application
    Filed: December 11, 2012
    Publication date: June 13, 2013
    Applicant: CANON KABUSHIKI KAISHA
    Inventor: Canon Kabushiki Kaisha
  • Publication number: 20130149646
    Abstract: Provided is a charged particle beam writing apparatus including a stage which a sample can be mounted thereon, an irradiation unit which emits a charged particle beam to be irradiated on the sample, and an aperture plate which includes a first opening portion to shape the charged particle beam. The aperture plate has a stacked structure of a first member and a second member, and a position of an end portion of the first opening portion in the second member is recessed from the position of the end portion of the first opening portion in the first member.
    Type: Application
    Filed: December 6, 2012
    Publication date: June 13, 2013
    Applicant: NuFlare Technology, Inc.
    Inventor: NuFlare Technology, Inc.
  • Publication number: 20130143159
    Abstract: A resist composition including a base component containing a polymer (A1) having a structural unit (a5) containing a group represented by general formula (a5-0-1) or (a5-0-2), wherein the amount of the monomer that derives the structural unit (a5) is not more than 100 ppm relative to (A1). In the formulas, each of Q1 and Q2 represents single bond or divalent linking group, R3, R4 and R5 represent organic groups, and R4 and R5 may be bonded to each other to form a ring in combination with the sulfur atom, provided that —R3—S+(R4)(R5) has a total of only one aromatic ring or has no aromatic rings, V? represents a counter anion, A? represents an organic group containing anion, and Mm+ represents an organic cation having a valency of m, wherein m represents an integer of 1 to 3, provided that Mm+ has only one aromatic ring or has no aromatic rings.
    Type: Application
    Filed: October 17, 2012
    Publication date: June 6, 2013
    Applicant: TOKYO OHKA KOGYO CO., LTD.
    Inventor: TOKYO OHKA KOGYO CO., LTD.
  • Publication number: 20130143161
    Abstract: An apparatus includes an irradiation device configured to irradiate an object with charged particle beams, a measurement device configured to measure a characteristic of each of charged particle beams, and a controller. The measurement device includes a plate including knife edges, and a sensor configured to detect a charged particle beam incident thereon via the plate. The controller causes one charged particle beam, selected from the charged particle beams, to perform a scan relative to the measurement device so that the one charged particle beam traverses at least two knife edges among the plurality of knife edges, and to generate correction information for correcting a measurement error of the measurement device due to deformation of the plate, based on an output from the sensor upon the scan.
    Type: Application
    Filed: November 19, 2012
    Publication date: June 6, 2013
    Applicant: CANON KABUSHIKI KAISHA
    Inventor: CANON KABUSHIKI KAISHA
  • Publication number: 20130143163
    Abstract: A pattern is printed by forming a photoresist layer on a wafer, forming a protective film thereon, exposure, and development. The protective film is formed from a composition comprising a copolymer of hydroxystyrene with acenaphthylene and/or vinylnaphthalene and a mixture of an alcohol solvent and an ether or aromatic solvent.
    Type: Application
    Filed: December 6, 2012
    Publication date: June 6, 2013
    Applicant: SHIN-ETSU CHEMICAL CO., LTD.
    Inventor: SHIN-ETSU CHEMICAL CO., LTD.
  • Publication number: 20130143162
    Abstract: A pattern is printed by forming a photoresist layer on a wafer, forming a protective film thereon, exposure, and development. The protective film is formed from a protective film-forming composition comprising a novolak resin of a bisphenol compound and a mixture of an alcohol solvent and an ether or aromatic solvent.
    Type: Application
    Filed: December 6, 2012
    Publication date: June 6, 2013
    Applicant: SHIN-ETSU CHEMICAL CO., LTD.
    Inventor: SHIN-ETSU CHEMICAL CO., LTD.
  • Publication number: 20130137044
    Abstract: A scanning apparatus which performs scan on an object with a charged particle beam includes: a blanking deflector configured to individually blank a plurality of charged particle beams based on control data; a scanning deflector configured to collectively deflect the plurality of charged particle beams to perform the scan; and a controller. The controller is configured to hold first data used to obtain error in a scanning amount and a scanning direction of the scanning deflector relative to a reference scanning amount and a reference scanning direction with respect to each of the plurality of charged particle beams, and to generate the control data based on the first data so that the scan is performed for a target region on the object.
    Type: Application
    Filed: November 5, 2012
    Publication date: May 30, 2013
    Applicant: CANON KABUSHIKI KAISHA
    Inventor: CANON KABUSHIKI KAISHA
  • Patent number: 8450047
    Abstract: A method is provided for achieving specific magnetic states with a given vortex chirality in artificial kagome spin ice building block structures containing one or more hexagonal rings of ferromagnetic islands created with electron beam lithography, where a subgroup of the ferromagnetic islands have a smaller width and therefore higher switching field than the other normal (wider) islands and are placed at specific positions in each of the rings. The positioning of the islands determines the magnetic state of the building block structure during magnetization reversal, and determines the chirality of the magnetic vortices that occur in each ring.
    Type: Grant
    Filed: February 24, 2012
    Date of Patent: May 28, 2013
    Assignee: Paul Scherrer Institut
    Inventors: Laura Heyderman, Elena Mengotti, Danilo Zanin, Rajesh Chopdekar, Hans-Benjamin Braun, Remo Huegli, Gerard Duff
  • Publication number: 20130108960
    Abstract: A negative pattern is formed by coating a resist composition onto a substrate, the resist composition comprising a polymer comprising recurring units having an acid labile group-substituted hydroxyl group, an acid generator, an onium salt of perfluoroalkyl ether carboxylic acid, and an organic solvent, prebaking, exposing, baking, and developing in an organic solvent such that the unexposed region of film is dissolved away and the exposed region of film is not dissolved. In image formation via positive/negative reversal by organic solvent development, the resist film is characterized by a high dissolution contrast between the unexposed and exposed regions.
    Type: Application
    Filed: October 26, 2012
    Publication date: May 2, 2013
    Applicant: SHIN-ETSU CHEMICAL CO., LTD.
    Inventor: Shin-Etsu Chemical CO., LTD.
  • Patent number: 8431914
    Abstract: A charged particle beam writer system is disclosed comprising a generator for a charged particle beam having a beam blur radius, wherein the beam blur radius may be varied from shot to shot, or between two or more groups of shots. A method for fracturing or mask data preparation or optical proximity correction is also disclosed comprising assigning a beam blur radius variation to each calculated charged particle beam writer shot. A method for forming a pattern on a surface is also disclosed comprising using a charged particle beam writer system and varying the beam blur radius from shot to shot. A method for manufacturing an integrated circuit using optical lithography is also disclosed, comprising using a charged particle beam writer system to form a pattern on a reticle, and varying the beam blur radius of the charged particle beam writer system from shot to shot.
    Type: Grant
    Filed: August 24, 2010
    Date of Patent: April 30, 2013
    Assignee: D2S, Inc.
    Inventors: Kazuyuki Hagiwara, Akira Fujimura
  • Patent number: 8426832
    Abstract: The present invention increases the number of characters available on a stencil for charged particle beam lithography. A stencil for charged particle beam lithography is disclosed, comprising two character projection (CP) characters, wherein the blanking areas for the two CP characters overlap. A stencil is also disclosed comprising two CP characters with one or more optional characters between the two characters, wherein the optional characters can form meaningful patterns on a surface only in combination with one of the two characters. A stencil is also disclosed wherein the blanking area of a CP character extends beyond the boundary of the stencil's available character area. Methods for design of the aforementioned stencils are also disclosed.
    Type: Grant
    Filed: September 2, 2009
    Date of Patent: April 23, 2013
    Assignee: D2S, Inc.
    Inventors: Kenji Yoshida, Takashi Mitsuhashi, Shohei Matsushita, Larry Lam Chau, Tam Dinh Thanh Nguyen, Donald MacMillen, Akira Fujimura
  • Publication number: 20130089820
    Abstract: There is disclosed a resist top coat composition, used in a patterning process onto a photoresist film, wherein a resist top coat is formed by using the resist top coat composition onto a photoresist film formed on a wafer, and then, after exposure, removal of the resist top coat and development of the photoresist film are performed to effect the patterning on the photoresist film, wherein the resist top coat composition contains a truxene compound having phenol groups shown by the following general formula (1). As a result, there is provided a resist top coat composition not only having an effect from an environment to a resist film reduced and effectively shielding an OOB light, but also reducing film loss of a resist pattern and bridging between patterns and having an effect to enhance sensitivity of the resist; and a patterning process using this.
    Type: Application
    Filed: September 25, 2012
    Publication date: April 11, 2013
    Applicant: SHIN-ETSU CHEMICAL CO., LTD.
    Inventor: SHIN-ETSU CHEMICAL CO., LTD.
  • Patent number: 8415086
    Abstract: A method is provided for achieving low energy states for the study of chirality kagome spin ice structures, the method having the steps of providing a silicon substrate; spin coating a polymethyl acrylate resist on said silicon substrate; providing an electron beam writer; exposing said coated substrate to an electron beam from said electron beam writer; positioning more than one thin island ferromagnetic island structure along a honeycomb lattice of said kagome spin ice component, wherein said positioning being along a determined magnetization direction of said lattice and wherein said island structures providing a mechanism in which chirality is controlled.
    Type: Grant
    Filed: April 15, 2011
    Date of Patent: April 9, 2013
    Assignee: Paul Scherrer Institut
    Inventors: Laura Heyderman, Elena Mengotti, Danilo Zanin, Rajesh Chopdekar, Hans-Benjamin Braun, Remo Hügli, Gerard Duff
  • Patent number: 8415000
    Abstract: Stabilized precursor solutions can be used to form radiation inorganic coating materials. The precursor solutions generally comprise metal suboxide cations, peroxide-based ligands and polyatomic anions. Design of the precursor solutions can be performed to achieve a high level of stability of the precursor solutions. The resulting coating materials can be designed for patterning with a selected radiation, such as ultraviolet light, x-ray radiation or electron beam radiation. The radiation patterned coating material can have a high contrast with respect to material properties, such that development of a latent image can be successful to form lines with very low line-width roughness and adjacent structures with a very small pitch.
    Type: Grant
    Filed: October 28, 2011
    Date of Patent: April 9, 2013
    Assignee: Inpria Corporation
    Inventors: Jason K. Stowers, Alan J. Telecky, Douglas A. Keszler, Andrew Grenville
  • Patent number: 8415122
    Abstract: Biomass feedstocks (e.g., plant biomass, animal biomass, and municipal waste biomass) are processed to produce useful products, such as fuels. For example, systems are described that can use feedstock materials, such as cellulosic and/or lignocellulosic materials and/or starchy materials, to produce a product or intermediate, e.g., energy, a food, a fuel, or a material.
    Type: Grant
    Filed: February 11, 2010
    Date of Patent: April 9, 2013
    Assignee: Xyleco, Inc.
    Inventors: Marshall Medoff, Thomas Craig Masterman
  • Publication number: 20130084438
    Abstract: There is provided a pattern forming method comprising (1) a step of forming a film by using an electron beam-sensitive or extreme ultraviolet-sensitive resin composition containing (A) a resin that contains a repeating unit having a partial structure represented by the specific formula and can decrease the solubility for a developer containing an organic solvent by the action of an acid, and (B) a compound capable of generating an acid upon irradiation with an electron beam or an extreme ultraviolet ray, (2) a step of exposing the film by using an electron beam or an extreme ultraviolet ray, and (4) a step of developing the exposed film by using an organic solvent-containing developer to form a negative pattern.
    Type: Application
    Filed: September 13, 2012
    Publication date: April 4, 2013
    Applicant: FUJIFILM CORPORATION
    Inventors: Kaoru IWATO, Hideaki TSUBAKI, Shuji HIRANO
  • Publication number: 20130084518
    Abstract: Disclosed is a negative chemical amplification resist composition including (A) a polymer compound having a repeating unit (P) represented by the following formula (I) which is stable in acids and alkalis, and a repeating unit (Q) having a phenolic hydroxyl group; (B) a compound capable of generating an acid when irradiated with actinic rays or a radiation; and (C) a cross-linking agent: in which, in the formula (I), R1 represents a hydrogen atom or a methyl group; L1 represents an oxygen atom or —NH—; L2 represents a single bond or an alkylene group; and A represents a polycyclic hydrocarbon group.
    Type: Application
    Filed: October 2, 2012
    Publication date: April 4, 2013
    Applicant: FUJIFILM CORPORATION
    Inventor: FUJIFILM CORPORATION
  • Publication number: 20130084530
    Abstract: A method for fabricating a patterned layer is disclosed. Firstly, a semiconductor substrate is provided. Then, a precursory gas on the semiconductor substrate is formed. Finally, a patterned layer on the semiconductor substrate is deposited by reacting the precursory gas with at least one electron beam or at least one ion beam. The present invention not only fabricates a patterned layer on the substrate in a single step but also achieves a high lithographic resolution and avoids remains of contaminations by using the properties of the electron beam or the ion beam and the precursory gas.
    Type: Application
    Filed: November 21, 2012
    Publication date: April 4, 2013
    Applicant: NATIONAL APPLIED RESEARCH LABORATORIES
    Inventor: NATIONAL APPLIED RESEARCH LABORATORI
  • Publication number: 20130078576
    Abstract: The present invention relates to novel neutral layer compositions and methods for using the compositions. The neutral layer composition comprises at least one random copolymer having at least one unit of structure (1), at least one unit of structure (2) and at least one unit of structure (3) where R1 is selected from the group consisting of a C1-C8 alkyl, C1-C8 fluoroalkyl moiety, C1-C8 partially fluorinated alkyl, C4-C8 cycloalkyl, C4-C8 cyclofluoroalkyl, C4-C8 partially fluorinated cycloalkyl, and a C2-C8 hydroxyalkyl; R2, R3 and R5 are independently selected from a group consisting of H, C1-C4 alkyl, CF3 and F; R4 is selected from the group consisting of H, C1-C8 alkyl, C1-C8 partially fluorinated alkyl and C1-C8 fluoroalkyl, n ranges from 1 to 5, R6 is selected from the group consisting of H, F, C1-C8 alkyl and a C1-C8 fluoroalkyl and m ranges from 1 to 3.
    Type: Application
    Filed: September 23, 2011
    Publication date: March 28, 2013
    Applicant: AZ ELECTRONIC MATERIALS USA CORP.
    Inventors: Hengpeng WU, Yi CAO, SungEun HONG, Jian YIN, Margareta PAUNESCU, Mark O. NEISSER, Guanyang LIN
  • Publication number: 20130078569
    Abstract: A molecular glass compound comprises a vinyl ether adduct of an aromatic vinyl ether of formula C(R1)2?C(R2)—O-(L)n-Ar1, and a calix[4]arene, wherein R1 and R2 are each independently a single bond, H, C1-20 alkyl, C1-20 haloalkyl, C6-20 aryl, C6-20 haloaryl, C7-20 aralkyl, or C7-20 haloaralkyl, L is a C1-20 linking group, n is 0 or 1, and Ar1 is a halo-containing monocyclic, or substituted or unsubstituted polycyclic or fused polycyclic C6-20 aromatic-containing moiety, wherein R1 and R2 are connected to Ar1 when either or both of R1 and R2 is a single bond and n is 0. A photoresist, comprising the molecular glass compound, a solvent, and a photoacid generator, a coated substrate, comprising (a) a substrate having one or more layers to be patterned on a surface thereof; and (b) a layer of a photoresist composition over the one or more layers to be patterned, and a method of forming the molecular glass compound, are also disclosed.
    Type: Application
    Filed: September 21, 2012
    Publication date: March 28, 2013
    Applicants: DOW GLOBAL TECHNOLOGIES LLC, ROHM AND HAAS ELECTRONIC MATERIALS LLC
    Inventors: Rohm and Haas Electronic Materials LLC, Dow Global Technologies LLC
  • Publication number: 20130078578
    Abstract: The disclosed resist developer is used when developing by irradiating an energy beam onto a resist layer containing a polymer of ?-chloromethacrylate and ?-methylstyrene for rendering or exposure, and contains a fluorocarbon-containing solvent (A) and an alcohol solvent (B), the latter of which has higher solubility relative to the resist layer than the former.
    Type: Application
    Filed: March 28, 2011
    Publication date: March 28, 2013
    Applicant: HOYA CORPORATION
    Inventors: Hiromasa Iyama, Hideo Kobayashi
  • Publication number: 20130078577
    Abstract: A drawing apparatus of the present invention is an apparatus that performs drawing on a substrate with a plurality of charged particle beams and includes a blanking deflector array including a plurality of blanking deflectors configured to respectively blank the plurality of charged particle beams; and a controller configured to control the blanking deflector array based on drawing data. The controller is configured to control the blanking deflector array such that a position error of the plurality of charged particle beams on the substrate due to a magnetic field generated by the blanking deflector array is less than that in a case where the controller controls the blanking deflector array in accordance with initial drawing data.
    Type: Application
    Filed: September 28, 2012
    Publication date: March 28, 2013
    Applicant: CANON KABUSHIKI KAISHA
    Inventor: CANON KABUSHIKI KAISHA
  • Patent number: 8404407
    Abstract: According to certain embodiments, a mask blank for an electron beam writing is provided, capable of forming a resist pattern of a 3-dimensional topology through an one-time writing. The mask blank includes a substrate, a thin film formed on the substrate, and an electron beam resist film formed on the thin film. The electron beam resist film is made of a laminated film including at least a lower resist film and an upper resist film. The lower resist film and the upper resist film have different resist sensitivities with respect to an electron beam.
    Type: Grant
    Filed: December 20, 2010
    Date of Patent: March 26, 2013
    Assignee: Hoya Corporation
    Inventors: Masahiro Hashimoto, Hiroshi Shirotori, Yuusuke Honma, Mitsuhiro Shirakura
  • Patent number: 8404404
    Abstract: A character projection charged particle beam writer system is disclosed comprising a variable magnification reduction lens which will allow different shot magnifications on a shot by shot basis. A method for fracturing or mask data preparation or optical proximity correction is also disclosed comprising assigning a magnification to each calculated charged particle beam writer shot. A method for forming a pattern on a surface is also disclosed comprising using a charged particle beam writer system and varying the magnification from shot to shot. A method for manufacturing an integrated circuit using optical lithography is also disclosed, comprising using a charged particle beam writer system to form a pattern on a reticle, and varying the magnification of the charged particle beam writer system from shot to shot.
    Type: Grant
    Filed: August 20, 2010
    Date of Patent: March 26, 2013
    Assignee: D2S, Inc.
    Inventor: Akira Fujimura
  • Publication number: 20130071790
    Abstract: A method of forming an etch mask includes: providing a substrate having thereon a material layer to be etched; forming a hard mask layer consisting of a radiation-sensitive, single-layer resist material on the material layer; exposing the hard mask layer to actinic energy to change solvent solubility of exposed regions of the hard mask layer; and subjecting the hard mask layer to water treatment to remove the exposed regions of the hard mask layer, thereby forming a masking pattern consisting of unexposed regions of the hard mask layer.
    Type: Application
    Filed: September 15, 2011
    Publication date: March 21, 2013
    Inventors: Tse-Yao Huang, Yi-Nan Chen, Hsien-Wen Liu
  • Publication number: 20130071789
    Abstract: A resist composition for use with EUV or EB including: a resin component (C) containing at least one type of atom selected from the group consisting of a fluorine atom and a silicon atom, an aromatic group, and a polarity conversion group that decomposes by action of base to increase the polarity; and a resin component (A) that generates acid upon exposure and exhibits changed solubility in a developing solution under action of acid (excluding the aforementioned resin component (C)), wherein an amount of a structural unit having the aforementioned aromatic group in the aforementioned resin component (C) is at least 20 mol %.
    Type: Application
    Filed: September 14, 2012
    Publication date: March 21, 2013
    Applicant: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Jun IWASHITA, Kenri KONNO
  • Publication number: 20130071788
    Abstract: A negative pattern is formed by coating a resist composition comprising a polymer comprising recurring units of acid labile group-substituted vinyl alcohol and maleic anhydride and/or maleimide, an acid generator, and an organic solvent onto a substrate, prebaking, exposing to high-energy radiation, and developing in an organic solvent developer such that the unexposed region of resist film is dissolved away and the exposed region of resist film is not dissolved. In image formation via positive/negative reversal by organic solvent development, the resist film is characterized by a high dissolution contrast between the unexposed and exposed regions.
    Type: Application
    Filed: September 13, 2012
    Publication date: March 21, 2013
    Applicant: SHIN-ETSU CHEMICAL CO., LTD.
    Inventors: Jun Hatakeyama, Kazuhiro Katayama, Koji Hasegawa
  • Publication number: 20130071791
    Abstract: An irradiation apparatus includes: a measurement device including a shield in which plural apertures are formed, and plural detectors configured to respectively detect plural charged particle beams respectively having passed through the plural apertures; a scanning mechanism configured to perform scanning of the plural beams and the measurement device relative to each other so that the plural beams respectively traverse edges of the plural apertures; and a controller configured to perform control of the scanning mechanism and the measurement device to obtain a characteristic of each beam. The controller is configured to perform the control such that in a period of the scanning, an energy, shielded by the shield, out of an energy of one beam increases with time, while an energy, shielded by the shield, out of an energy of another beam decreases with time.
    Type: Application
    Filed: September 13, 2012
    Publication date: March 21, 2013
    Applicant: CANON KABUSHIKI KAISHA
    Inventors: Keiichi Arita, Masahito Shinohara
  • Publication number: 20130065184
    Abstract: A charged particle beam drawing method according to an embodiment is a method including forming a first measurement pattern in a first measurement pattern area; in succession with processing of forming the first measurement pattern, forming a second measurement pattern in a second measurement pattern area located farthest from the first measurement pattern area in the same column as the first measurement pattern area; and in moving a charged particle beam from the second measurement pattern area to a third measurement pattern area located adjacent to the first measurement pattern area in the same column as the first and second measurement patterns to form a third measurement pattern, moving the charged particle beam to the third measurement pattern area while taking tiny shots approximately equivalent to a data resolution at the adjacent measurement pattern areas to be drawn in the same column one after another from the second measurement pattern.
    Type: Application
    Filed: September 7, 2012
    Publication date: March 14, 2013
    Applicant: NuFlare Technology, Inc.
    Inventors: Rieko Nishimura, Satoshi Nakahashi
  • Patent number: 8394720
    Abstract: A plasma processing method includes modifying a resist pattern of the substrate; and trimming the modified resist pattern through a plasma etching. The modifying includes: supplying the processing gas for modification from the processing gas supply unit to the inside of the processing chamber while the substrate having a surface on which the resist pattern is formed is mounted on the lower electrode; supplying the high frequency power from the high frequency power supply to generate a plasma of the processing gas for modification; and supplying the negative DC voltage from the DC power supply to the upper electrode.
    Type: Grant
    Filed: September 3, 2009
    Date of Patent: March 12, 2013
    Assignee: Tokyo Electron Limited
    Inventor: Jin Fujihara
  • Publication number: 20130059252
    Abstract: A method for forming a resist pattern includes providing a resist film. A protective film is provided on the resist film using a composition for forming the protective film. The composition includes a polymer and an organic solvent. The resist film on which the protective film is provided is exposed to irradiation with EUV light or an electron beam. The exposed resist film is developed.
    Type: Application
    Filed: September 6, 2012
    Publication date: March 7, 2013
    Applicant: JSR Corporation
    Inventors: Ken MARUYAMA, Koji Inukai
  • Publication number: 20130052567
    Abstract: A resist pattern forming method includes: in the following order, (1) a step of forming a film on a substrate by using a negative resist composition capable of undergoing negative conversion by a crosslinking reaction; (2) a step of exposing the film; and (4) a step of performing development by using an alkali developer after the exposing, wherein the negative resist composition contains (A) a polymer compound having a repeating unit represented by the following formula (I) as defined in the specification, a thickness of the film formed in the step (1) is from 15 to 40 nm, and an alkali component concentration in the alkali developer is from 0.5 to 1.1 mass %.
    Type: Application
    Filed: July 30, 2012
    Publication date: February 28, 2013
    Applicant: FUJIFILM CORPORATION
    Inventor: Toru TSUCHIHASHI
  • Publication number: 20130052585
    Abstract: Provided are novel symmetrical and asymmetrical bifunctional photodecomposable bases (PDBs) with dicarboxylate anion groups that show increased imaging performance. Also provided are photoresist compositions prepared with the bifunctional dicarboxylated PDBs and lithography methods that use the photoresist compositions of the present invention.
    Type: Application
    Filed: August 26, 2011
    Publication date: February 28, 2013
    Applicants: JSR CORPORATION, INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Ramakrishnan Ayothi, William D. Hinsberg, Sally A. Swanson, Gregory M. Wallraff
  • Publication number: 20130052590
    Abstract: A drawing apparatus performs drawing, with an array of charged particle beams, on shot regions arrayed on a substrate in a direction in parallel and with intervention of step movement of the substrate in the direction. The apparatus includes a driving device for relative movement between a stage and a charged-particle optical system in the direction. The optical system causes sub arrays (of charged particle beams), discretely arrayed in the direction, to be incident on the substrate, and includes deflectors configured to respectively deflect sub array sets each including at least one of the sub arrays. A controller controls the optical system and the driving device such that a region at one side of a boundary among the shot regions and a region at the other side are subjected to drawing not in parallel with a sub array existing over the boundary, but sequentially with intervention of the step movement.
    Type: Application
    Filed: August 17, 2012
    Publication date: February 28, 2013
    Applicant: CANON KABUSHIKI KAISHA
    Inventor: Tomoyuki Morita
  • Publication number: 20130052568
    Abstract: A resist pattern forming method includes: in the following order, (1) a step of forming a film on a substrate by using a positive resist composition; (2) a step of exposing the film; and (4) a step of performing development by using an alkali developer after the exposing, wherein the positive resist composition contains (A) a polymer compound having a repeating unit represented by the following formula (I) as defined in the specification, a thickness of the film formed in the step (1) is from 15 to 40 nm, and an alkali component concentration in the alkali developer is from 0.5 to 1.1 mass %.
    Type: Application
    Filed: July 30, 2012
    Publication date: February 28, 2013
    Applicant: FUJIFILM CORPORATION
    Inventors: Toru TSUCHIHASHI, Michihiro SHIBATA
  • Publication number: 20130052587
    Abstract: A negative pattern is formed by coating a resist composition comprising a methylol-substituted urea, amide or urethane compound, a polymer comprising recurring units having an acid labile group-substituted hydroxyl group, and an acid generator onto a substrate, prebaking, exposing to high-energy radiation, and developing in an organic solvent developer such that the unexposed region of resist film is dissolved away and the exposed region of resist film is not dissolved. In image formation via positive/negative reversal by organic solvent development, the resist film is characterized by a high dissolution contrast between the unexposed and exposed regions.
    Type: Application
    Filed: August 15, 2012
    Publication date: February 28, 2013
    Applicant: SHIN-ETSU CHEMICAL CO., LTD.
    Inventors: Jun Hatakeyama, Kazuhiro Katayama, Tomohiro Kobayashi
  • Publication number: 20130045440
    Abstract: A resist pattern forming method contains, in order: (1) a step of forming a resist film by using a negative chemical-amplification resist composition containing: (A) polymer compound having a repeating unit represented by the specific formula, (B) a phenolic compound being capable of crosslinking the polymer compound (A) by the action of an acid and having two or more benzene rings and four or more alkoxymethyl groups, and (C) a compound capable of generating an acid upon irradiation with an actinic ray or radiation, (2) a step of exposing the film, and (4) a step of, after exposure, developing the film by using a developer containing an ester-based solvent having a carbon number of 7 or 8.
    Type: Application
    Filed: August 15, 2012
    Publication date: February 21, 2013
    Applicant: FUJIFILM CORPORATION
    Inventors: Toru TSUCHIHASHI, Michihiro SHIBATA
  • Publication number: 20130045362
    Abstract: A method for making a conductive laminate includes: (a) forming a photocurable layer on a substrate, the photocurable layer including at least one photocurable prepolymer that has a plurality of reactive functional groups and that has a functional group equivalent weight ranging from 70 to 700 g/mol; (b) covering partially the photocurable layer using a patterned mask; (c) exposing the photocurable layer through the patterned mask using a first light source; (d) removing the patterned mask; (e) exposing the photocurable layer to a second light source to cure second regions of the photocurable layer which have not been cured, so as to form a microstructure; and (f) forming a conductive layer on the microstructure.
    Type: Application
    Filed: August 22, 2012
    Publication date: February 21, 2013
    Applicant: FAR EASTERN NEW CENTURY CORPORATION
    Inventors: Chien-Cheng Chang, Yu-Chun Chien, Da-Shan Lin, Han-Hsiang Lin
  • Patent number: 8377390
    Abstract: In accordance with the invention, there are surfaces exhibiting anisotropic wetting, microfluidic devices and microreactors including the surfaces and methods of controlling anisotropic wetting behavior of the surfaces. The exemplary surface can include a substrate and a plurality of rectangular shaped structures arranged to form a macroscopic pattern over the substrate, wherein the plurality of rectangular shaped structures delineate a top surface of the rectangular structures from a surface of the substrate, the rectangular shaped structures including substantially vertical walls having a height of about 100 nm to about 10 ?m and wherein the shape of the macroscopic pattern, the height of the substantially vertical walls, and a surface chemistry of the top surface controls anisotropic wetting at the top surface of the rectangular structures.
    Type: Grant
    Filed: May 29, 2009
    Date of Patent: February 19, 2013
    Assignee: STC.UNM
    Inventors: Steven R. J. Brueck, Deying Xia