Post Imaging Radiant Energy Exposure Patents (Class 430/328)
  • Patent number: 7749687
    Abstract: A method of forming a pattern on a photosensitive resin film in lithography, a method of forming a pattern for a semiconductor device, and a method of manufacturing a semiconductor device using the patterned film are disclosed. In an aspect of the invention, there is provided a method of forming a pattern on a photosensitive resin film, comprising forming a processing-object film above a semiconductor substrate, forming a first patterned photosensitive resin layer on the processing-object film, implanting ions into the first patterned photosensitive resin layer, the sum (Rp+3dRp) of a projected range (Rp) for the ions in the first photosensitive resin layer and three times a standard deviation (dRp) of the projected range being greater than a thickness of the first patterned photosensitive resin layer, and forming a second patterned photosensitive resin layer on the ion-implanted first patterned photosensitive resin layer.
    Type: Grant
    Filed: May 16, 2007
    Date of Patent: July 6, 2010
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Hiroko Nakamura
  • Patent number: 7745103
    Abstract: A method of manufacturing an decoration film includes the steps of forming a semi-finished decoration film formed with a concave-convex pattern on a surface in a method of manufacturing a printing plate including exposure, cleaning, and drying from a photosensitive resin film; and irradiating laser on the concave-convex pattern of the semi-finished decoration film and removing an unnecessary portion to smooth concave-convex parts and/or provide difference in height of a convex part and depth of a concave part of the concave-convex pattern.
    Type: Grant
    Filed: November 21, 2006
    Date of Patent: June 29, 2010
    Assignee: Tanazawa Hakkosha Co., Ltd.
    Inventors: Sadayuki Yoneshima, Mitsushi Sogabe, Tsuyoshi Tamaki
  • Patent number: 7745093
    Abstract: In the present invention, in a water soluble resin composition for use in a method for pattern formation in which a covering layer is provided on a resist pattern formed of a radiation-sensitive resin composition capable of coping with ArF exposure to increase the width of the resist pattern and thus to realize effective formation of higher density trench or hole pattern, the size reduction level of the resist pattern layer can be further increased as compared with that in the prior art technique, and, in addition, the size reduction level dependency of the coarse-and-fine resist pattern can be reduced. A method for pattern formation using the water soluble resin composition is also provided. The water soluble resin composition which is usable for the method for pattern formation applicable to ArF excimer laser irradiation comprises a water soluble resin, an acid generating agent capable of generating an acid upon heating, a surfactant, a crosslinking agent, and a water-containing solvent.
    Type: Grant
    Filed: April 8, 2005
    Date of Patent: June 29, 2010
    Inventors: Takeshi Nishibe, Sung Eun Hong, Yusuke Takano, Tetsuo Okayasu
  • Patent number: 7736838
    Abstract: Provided methods for forming a pattern using electron beam and cell masks for electron beam lithography. The methods may include forming a resist layer on a substrate, the resist layer including a first region, a second region surrounding the first region, and a third region surrounding the second region. The second may be irradiated with electron beam at a first dose, and the third region may be irradiated with an electron beam at a second dose less than the first dose. The cell mask may include a mask substrate and a shielding region disposed on the mask substrate. A transmitting region may extend a distance from the shielding region. A gray pattern region may be disposed around the transmitting region. The gray pattern region may include patterns having a pitch smaller than a resolution limit.
    Type: Grant
    Filed: November 1, 2006
    Date of Patent: June 15, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Hee-Bom Kim, Seong-Woon Choi
  • Patent number: 7691549
    Abstract: A method for forming high resolution patterns on a substrate surface is disclosed. A photolithographic patterning tool is loaded with a substrate having a photoimagable layer. Multiple exposures to using interference patterns and developments are performed on the photoimagable layer to define a composite line pattern in the photoimagable layer. The composite line pattern having a greater pitch density than possible with single exposure with the same photolithographic patterning tool. The lines of the composite line pattern are selectively cut or trimmed at a plurality of locations to define a desired pattern in the photoimageable layer. The cuts can themselves be achieved with a plurality of photomasks or exposure to direct write tools to achieve densities beyond that allowed by k1>0.25 limit.
    Type: Grant
    Filed: February 15, 2007
    Date of Patent: April 6, 2010
    Assignee: KLA-Tencor Technologies Corporation
    Inventor: Lance A. Glasser
  • Patent number: 7633712
    Abstract: A write pole for vertical magnetic recording is described. It includes a trapezoidal prism of high magnetic moment material, having inwardly sloping sidewalls. Its parallel surfaces are between about 0.1 and 0.3 microns apart and the sidewalls slope in the range of 15.5 to 60 degrees relative to vertical.
    Type: Grant
    Filed: April 10, 2008
    Date of Patent: December 15, 2009
    Assignee: Headway Technologies, Inc.
    Inventors: Chao-Peng Chen, Jei-Wei Chang, Xiaohong Yang
  • Patent number: 7615337
    Abstract: A cap may be formed anisotropically over a photoresist feature. For example, a material, such as a polymer, may be coated over the photoresist feature. If the coated material is photoactive, the cap may be grown preferentially in the vertical direction, creating high aspect ratio structures in some embodiments of the present invention.
    Type: Grant
    Filed: August 27, 2004
    Date of Patent: November 10, 2009
    Assignee: Intel Corporation
    Inventors: Robert P. Meagley, Michael McSwiney, Michael D. Goodner, Robert Leet, Manish Chandhok
  • Patent number: 7598024
    Abstract: A method for alignment mark preservation includes a step of preparing a lower alignment mark structure on a substrate. In one configuration of the invention, the alignment mark structure includes a lower trench. In a further step, a hard mask coating is applied to a substrate that includes the alignment marks. Preferably, the hard mask material is an amorphous carbon material. In a further step, a selected portion of the hard mask located above the lower alignment mark structure is exposed to a dose of radiation. In one aspect of the invention, the surface of regions of the hard mask coating that receive the dose of radiation become elevated with respect to other regions of the hard mask surface. For those elevated regions of the hard mask that are aligned with an underlying alignment mark trench, the elevated regions serve as an alignment mark that preserves the original horizontal position of the underlying alignment mark.
    Type: Grant
    Filed: March 8, 2006
    Date of Patent: October 6, 2009
    Assignee: ASML Netherlands B.V.
    Inventors: Sanjaysingh Lalbahadoersing, Sami Musa
  • Patent number: 7566525
    Abstract: A method is disclosed for forming a photoresist pattern with enhanced etch resistance on a semiconductor substrate. A photoresist pattern is first formed on the substrate. A silicon-containing polymer layer is deposited over the photoresist pattern on the substrate. A thermal treatment is performed to form a cross-linked anti-etch shielding layer between the photoresist pattern and the silicon-containing layer. Then, the remaining silicon containing layer is removed. A plasma treatment is performed in order to increase an etch resistance of the cross-linked anti-etch shielding layer and the photoresist pattern.
    Type: Grant
    Filed: June 14, 2005
    Date of Patent: July 28, 2009
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Chin-Hsiang Lin, Ching-Yu Chang
  • Patent number: 7560201
    Abstract: A multiple mask and a multiple masking layer technique can be used to pattern a single IC layer. A resolution enhancement technique can be used to define one or more fine-line patterns in a first masking layer, wherein each fine-line feature is sub-wavelength. Moreover, the pitch of each fine-line pattern is less than or equal to that wavelength. The portions of the fine-line features not needed to implement the circuit design are then removed or designated for removal using a mask. After patterning of the first masking layer, another mask can then be used to define coarse features in a second masking layer formed over the patterned first masking layer. At least one coarse feature is defined to connect two fine-line features, wherein the coarse feature(s) can be derived from a desired layout using a shrink/grow operation. The IC layer can be patterned using the composite mask formed by the patterned first and second masking layers.
    Type: Grant
    Filed: July 23, 2008
    Date of Patent: July 14, 2009
    Assignee: Synopsys, Inc.
    Inventor: Tsu-Jae King Liu
  • Patent number: 7553606
    Abstract: Disclosed is a method of forming patterns in semiconductor devices by using photo resist patterns. These methods comprise forming photo resist patterns on a substrate. Inferior patterns are selected among the photo resist patterns. The inferior patterns are eliminated or shrunken by irradiating the selected inferior patterns with an electron beam.
    Type: Grant
    Filed: October 4, 2006
    Date of Patent: June 30, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sung-Gun Kang, Jin-Mo Kang, Jae-Ho Lee, Jun-Seop Lee
  • Patent number: 7547495
    Abstract: In a double exposure process to print features at a reduced pitch, the critical dimension of features printed in the first exposure is measured and used as a target for the second exposure.
    Type: Grant
    Filed: December 21, 2005
    Date of Patent: June 16, 2009
    Assignee: ASML Netherlands B.V
    Inventors: Leonardus Henricus Marie Verstappen, Everhardus Cornelis Mos
  • Patent number: 7544449
    Abstract: A method and apparatus for measuring the chromatic response of lithographic projection imaging systems is described. An apparatus for determining the lens aberrations for a lithographic projection lens is provided. A substrate coated with a suitable recording media is provided. A series of lithographic exposures are performed using an exposure source with variable spectral settings. The exposures are measured, and the measurements are used to determine a chromatic response of the projection imaging system.
    Type: Grant
    Filed: November 14, 2005
    Date of Patent: June 9, 2009
    Assignee: Litel Instruments
    Inventors: Adlai H. Smith, Robert O. Hunter, Jr., Joseph Bendik
  • Publication number: 20090142702
    Abstract: An imaged and developed element, such as a lithographic printing plate, is provided by violet radiation imaging of a negative-working imageable element having an outermost imageable layer that includes a free radically polymerizable component, an initiator composition that provides free radicals upon violet irradiation, a sensitizer, and a polymeric binder having pendant reactive vinyl groups. The element also includes an additive that is represented by the following Structure (II): wherein R1, R2, R3, and R4 are independently hydrogen, or alkyl, alkenyl, cycloalkyl, or aryl groups. The imaged element can be developed using a gum having a pH greater than 6 and up to about 11 and comprising at least 1 weight % of one or more anionic surfactants.
    Type: Application
    Filed: December 4, 2007
    Publication date: June 4, 2009
    Inventors: Kevin B. Ray, Ting Tao, Eric E. Clark
  • Patent number: 7541120
    Abstract: After forming a resist film on a Si substrate, a circuit pattern for a semiconductor integrated circuit, a first L-shaped length measuring pattern and a cross-shaped monitor pattern for alignment are formed on the resist film. Next, based on these patterns, the Si substrate is patterned. Thereafter, a polysilicon film is formed above the Si substrate. Subsequently, a resist film is formed on the polysilicon film. Next, a circuit pattern for a semiconductor integrated circuit, a second L-shaped length measuring pattern and a cross-shaped monitor pattern for alignment are formed on the resist film. At this time, the second L-shaped length measuring pattern is made to face in a direction in which the first L-shaped length measuring pattern is rotated 180 degrees in plane view. By patterning the polysilicon film with these patterns as a mask, a gate electrode is formed.
    Type: Grant
    Filed: February 28, 2005
    Date of Patent: June 2, 2009
    Assignee: Fujitsu Microelectronics Limited
    Inventor: Masanori Terahara
  • Patent number: 7537866
    Abstract: A multiple mask and a multiple masking layer technique can be used to pattern a single IC layer. A resolution enhancement technique can be used to define one or more fine-line patterns in a first masking layer, wherein each fine-line feature is sub-wavelength. Moreover, the pitch of each fine-line pattern is less than or equal to that wavelength. The portions of the fine-line features not needed to implement the circuit design are then removed or designated for removal using a mask. After patterning of the first masking layer, another mask can then be used to define coarse features in a second masking layer formed over the patterned first masking layer. At least one coarse feature is defined to connect two fine-line features. The IC layer can be patterned using the composite mask formed by the patterned first and second masking layers.
    Type: Grant
    Filed: May 24, 2006
    Date of Patent: May 26, 2009
    Assignee: Synopsys, Inc.
    Inventor: Tsu-Jae King Liu
  • Publication number: 20090130590
    Abstract: A photoresist composition and methods using the photoresist composition in multiple exposure/multiple layer processes. The photoresist composition includes a polymer comprising repeat units having a hydroxyl moiety; a photoacid generator; and a solvent. The polymer when formed on a substrate is substantially insoluble to the solvent after heating to a temperature of about 150° C. or greater. One method includes forming a first photoresist layer on a substrate, patternwise exposing the first photoresist layer, forming a second non photoresist layer on the substrate and patterned first photoresist layer. Another method includes forming a first photoresist layer on a substrate, patternwise exposing the first photoresist layer, forming a second photoresist layer on the substrate and patterned first photoresist layer and patternwise exposing the second photoresist layer.
    Type: Application
    Filed: November 19, 2007
    Publication date: May 21, 2009
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Kuang-Jung Chen, Wu-Song Huang, Wai-Kin Li, Pushkara R. Varanasi
  • Patent number: 7531294
    Abstract: An object of the invention is to provide a method for manufacturing a semiconductor device having a semiconductor element with a minute structure, which can reduce a cost and improve throughput due to a small number of steps and reduction in a material. One feature of the invention is to form a first film pattern over a substrate, form a second film pattern which is curved on the surface of the first film pattern or the substrate, and form a film pattern by irradiating the first film pattern with light with the second film pattern therebetween and modifying part of the second film pattern.
    Type: Grant
    Filed: March 24, 2005
    Date of Patent: May 12, 2009
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Yoshiaki Yamamoto, Hiroko Yamamoto, Yukie Suzuki
  • Publication number: 20090092922
    Abstract: Imaging layers, image recording media, and methods of preparation of each, are disclosed.
    Type: Application
    Filed: October 9, 2007
    Publication date: April 9, 2009
    Inventors: Mehrgan Khavari, Susan E. Bailey, Paul Felice Reboa
  • Publication number: 20090004596
    Abstract: A resist composition and a method for forming a patterned feature on a substrate. The composition comprises a molecular glass having at least one fused polycyclic moiety and at least one base soluble functional group protected with an acid labile protecting group, and a photosensitive acid generator. The method includes providing a composition including a photosensitive acid generator and a molecular glass having at least one fused polycyclic moiety and at least one base soluble functional group protected with an acid labile protecting group, forming a film of the composition on the substrate, patternwise imaging the film, wherein at least one region of the film is exposed to radiation or a beam of particles, resulting in production of an acid catalyst in the exposed region, baking the film, developing the film, resulting in removal of base-soluble exposed regions, wherein a patterned feature from the film remains following the removal.
    Type: Application
    Filed: June 27, 2007
    Publication date: January 1, 2009
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: James J. Bucchignano, Wu-Song Huang, Pushkara R. Varanasi, Roy R. Yu
  • Publication number: 20080299489
    Abstract: An ultraviolet curable coating fluid includes a polymerizable olefin monomer or monomer blend that undergoes self-photoinitiating polymerization when exposed to a predetermined ultraviolet wavelength range, and a predetermined amount of an ultraviolet absorbing image stabilizer that has minimal absorption in the predetermined ultraviolet wavelength range.
    Type: Application
    Filed: June 4, 2007
    Publication date: December 4, 2008
    Inventor: Gary W. Byers
  • Patent number: 7445881
    Abstract: Disclosed is an acid etching resistance material comprising a compound having a repeating unit represented by the following general formula (1): (in the general formula (1), R1 is a hydrogen atom or methyl group; R3 is a cyclic group selected from an alicyclic group and an aromatic group; R4 is a polar group; R2 is a group represented by the following general formula (2); and j is 0 or 1): (in the general formula (2), R5 is a hydrogen atom or methyl group).
    Type: Grant
    Filed: February 27, 2007
    Date of Patent: November 4, 2008
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Koji Asakawa, Kenichi Ohashi, Akira Fujimoto, Takashi Sasaki
  • Patent number: 7419764
    Abstract: Provided is a method of fabricating a nanoimprint mold which can form sub-100 nm fine pattern structures. The method includes forming patterns on a first substrate using an E-beam lithography (EBL) process, and transferring the patterns formed on the first substrate to a second substrate using a nanoimprint lithography (NIL) process to complete an NIL mold. Accordingly, the method can easily fabricate the nanoimprint mold at low costs on a quartz or glass substrate, which is not suitable for an EBL process to produce sub-100 nm patterns, by utilizing the advantages of the EBL process with a resolution of tens of nanometers.
    Type: Grant
    Filed: August 17, 2006
    Date of Patent: September 2, 2008
    Assignee: Electronics and Telecommunications Research Institute
    Inventors: Jong Hyurk Park, Hyo Young Lee, Nak Jin Choi, Jung Hyun Lee, Gyeong Sook Bang
  • Patent number: 7407736
    Abstract: Methods for improving a single layer resist (SLR) patterning scheme, and in particular, its SLR layer and anti-reflective coating (ARC) etch selectivity, are disclosed. In one method, a patterned SLR layer over an anti-reflective coating (ARC) is provided and at least a portion of the patterned SLR layer and a portion of the ARC are exposed to radiation. The radiation may include, for example, an electron beam or an ion beam. The radiation exposure selectively breaks the polymer chains of the ARC and reduces the thickness of the ARC due to the loss of volatile function groups and free volume. As a result, the etch rate of the ARC is increased due to the conversion from polymer to monomer. Therefore, less resist will be consumed during, for example, an ARC open etch.
    Type: Grant
    Filed: January 31, 2006
    Date of Patent: August 5, 2008
    Assignee: International Business Machines Corporation
    Inventors: Kuang-Jung J. Chen, Wu-Song S. Huang, Chung-Hsi J. Wu
  • Patent number: 7402782
    Abstract: A heat treatment device for baking a chemically amplified resist film formed on a substrate after exposure but before development includes a table that supports the substrate, a heater that heats the substrate, a magnetic field generating unit that generates a magnetic field of lines of magnetic flux directed in a film thickness direction of the resist film and reverses the direction of the lines of magnetic flux, and a controller unit that controls the magnetic field generating unit to generate the magnetic field acting on the resist film at least while the substrate is being heated by the heater unit.
    Type: Grant
    Filed: November 4, 2005
    Date of Patent: July 22, 2008
    Assignee: Tokyo Electron Limited
    Inventor: Hiroyuki Iwaki
  • Patent number: 7399582
    Abstract: In the method wherein a resist pattern is miniaturized effectively by applying a fine pattern forming material, the fine pattern forming material used for providing with a cured coated layer pattern, wherein development defects are reduced by water development is offered, wherein the fine pattern forming material comprises a water-soluble resin, a water-soluble crosslinking agent and water or a mixed solution consisting of water and a water-soluble organic solvent, and further comprises an amine compound.
    Type: Grant
    Filed: June 4, 2004
    Date of Patent: July 15, 2008
    Assignee: AZ Electronic Materials USA Corp.
    Inventors: Kiyohisa Takahashi, Yusuke Takano
  • Patent number: 7395595
    Abstract: A method for forming a P3 layer with NiFe and alumina mask using resist shrink process for use in perpendicular magnetic write heads. The method includes forming a laminated layer, forming an alumina layer on top of the laminated layer, depositing a conductive layer onto the laminated layer, forming a plating frame on a gap layer. The plating frame has a trench defined by plating track, the alumina, laminated and conductive layers each including an area below the trench. The method further includes shrinking the trench, plating NiFe into a portion of the shrunk trench, stripping the plating frame, removing the conductive layer except the conductive layer formed below the trench, removing the alumina layer except the alumina layer formed below the trench, removing the laminated layer except the laminated layer formed below the trench and patterning the laminated layer formed below the trench.
    Type: Grant
    Filed: April 19, 2005
    Date of Patent: July 8, 2008
    Assignee: Hitachi Global Storage Technologies Netherlands B.V.
    Inventors: Kim Yang Lee, Jyh-Shuey Lo, Yi Zheng
  • Publication number: 20080153030
    Abstract: There is disclosed a resist composition that remarkably improves the resolution of photolithography using a high energy beam such as ArF excimer laser light as a light source, and exhibits excellent resistance to surface roughness and side lobe under use of a halftone phase shift mask; and a patterning process using the resist composition. The positive resist composition at least comprises (A) a resin component comprising a repeating unit represented by the following general formula (1); (B) a photoacid generator generating sulfonic acid represented by the following general formula (2) upon exposure to a high energy beam; and (C) an onium salt where a cation is sulfonium represented by the following general formula (3), or ammonium represented by the following general formula (4); and an anion is represented by any one of the following general formulae (5) to (7).
    Type: Application
    Filed: December 11, 2007
    Publication date: June 26, 2008
    Applicant: SHIN-ETSU CHEMICAL CO., LTD.
    Inventors: Tomohiro Kobayashi, Youichi Ohsawa, Ryosuke Taniguchi
  • Publication number: 20080145799
    Abstract: According to the present invention, an anti-reflective film formed under a resist film is removed in a photolithography process of a wafer without affecting the resist film. According to the present invention, in a photolithography process of a substrate, an anti-reflective film having solubility in the developing solution is formed and thereafter a resist film is formed. In development treatment after exposure processing, a developing solution is supplied to the substrate to develop the resist film. At an instant when the development of the resist film is finished, a second developing solution lower in concentration than the developing solution is supplied to the substrate. Only the anti-reflective film is dissolved and removed by the supply of the second developing solution.
    Type: Application
    Filed: February 13, 2008
    Publication date: June 19, 2008
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Momoko Shizukuishi, Hidetami Yaegashi
  • Patent number: 7384726
    Abstract: A method, tool, and machine for hardening a photoresist image while the photoresist image is immersed in a liquid.
    Type: Grant
    Filed: January 25, 2005
    Date of Patent: June 10, 2008
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Burn-Jeng Lin, Ching-Yu Chang
  • Publication number: 20080124653
    Abstract: A positive resist composition comprises (A) a resin component which becomes soluble in an alkaline developer under the action of an acid and (B) an acid generator. The resin (A) is a polymer comprising specific recurring units. When processed by ArF lithography, the composition is improved in resolution and forms a pattern with a minimal line edge roughness.
    Type: Application
    Filed: November 29, 2007
    Publication date: May 29, 2008
    Inventors: Akihiro Seki, Shigeo Tanaka, Katsuya Takemura, Tsunehiro Nishi
  • Patent number: 7371487
    Abstract: A method of fabricating a black matrix of a color filter is provided. In the method, a black matrix layer formed of a hydrophobic organic material is formed on an upper surface of a transparent substrate. A black matrix is formed by patterning the black matrix layer. Side surfaces of the black matrix are made hydrophilic by irradiating a lower surface of the transparent substrate with ultraviolet rays while heating the black matrix. A black matrix provided by the method is also disclosed.
    Type: Grant
    Filed: August 16, 2006
    Date of Patent: May 13, 2008
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Ki-deok Bae, In-Sung Song, Chang-seung Lee, Jun-seong Kim, Sung-woong Kim, Wou-sik Kim
  • Patent number: 7364833
    Abstract: The invention relates to a photoresist composition for an organic layer for a liquid crystal display, which may be used for a large-scale substrate, a spin-less coating method using the composition, a method for fabricating an organic layer pattern, and a liquid crystal display having the organic layer pattern. In particular, the liquid crystal display photoresist composition comprises an organic polymer resin having an average molecular weight in the range of about 2,000 to about 20,000, a mixed solvent of ethylene diglycol methylethyl ether (EDM) and a solvent having a vapor pressure lower than the EDM, and a photosensitizer.
    Type: Grant
    Filed: May 24, 2005
    Date of Patent: April 29, 2008
    Assignee: Samsung Electronics Co., Ltd
    Inventors: Yeong-beom Lee, Seon-su Sin
  • Patent number: 7364840
    Abstract: A technique is disclosed that combines a bilayered photoresist structure, similar to that which is already in use in the MR head industry, with a post development UV irradiation treatment which reduces the manufacturable feature-size to be below the resolution limit. The technique is compatible with current manufacturing processes, requires no additional investment, and can be extended to ultra-small feature sizes.
    Type: Grant
    Filed: February 3, 2004
    Date of Patent: April 29, 2008
    Assignee: Headway Technologies, Inc.
    Inventors: Feng-Yu Tsai, Jiun-Ting Lee
  • Patent number: 7354700
    Abstract: The invention provides a method for manufacturing an insulating layer for electro-optical devices, wherein the insulating layer contains an insulating material used for electro-optical devices and is not deteriorated in display property. The method for manufacturing an insulating layer for electro-optical devices according to the present invention can include an exposure step of performing exposure for a protrusion-forming layer containing a photosensitive resin (insulating material) with an illuminance of 80 mW/cm2 or more. The resin can be decolorized due to the exposure performed with such high illuminance, and therefore an obtained insulating material has a transmittance of 95% or more with respect to a colored ray having a wavelength of 400 nm.
    Type: Grant
    Filed: July 31, 2003
    Date of Patent: April 8, 2008
    Assignee: Seiko Epson Corporation
    Inventors: Hiroshi Sera, Yoshiki Nakashima
  • Publication number: 20080076045
    Abstract: The present invention provides methods and system for improving the accuracy of measurements made using optical metrology. The present invention relates to methods and systems for changing the optical properties of tunable resists that can be used in the production of electronic devices such as integrated circuits. Further, the invention provides methods and systems for using a modifiable resist layer that provides a first set of optical properties before exposure and a second set of optical properties after exposure.
    Type: Application
    Filed: September 26, 2006
    Publication date: March 27, 2008
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: James E. Willis, Manuel Perez, Asao Yamashita
  • Patent number: 7348130
    Abstract: The present invention describes a method including providing a substrate; forming a photoresist on the substrate; performing a post-apply bake on the photoresist; exposing the photoresist to actinic radiation; performing a post-exposure bake on the photoresist; developing the photoresist; and performing electron exposure on the photoresist to reduce line edge roughness.
    Type: Grant
    Filed: March 11, 2005
    Date of Patent: March 25, 2008
    Assignee: Intel Corporation
    Inventor: Neil S. Wester
  • Patent number: 7344825
    Abstract: In a resist pattern forming method in which bake processing is performed at a temperature not lower than a glass transition temperature in order to obtain the desired sidewall angle, resist removable is difficult. Accordingly, in the resist pattern forming method of performing bake processing at a temperature not lower than a glass transition temperature, a process margin for resist removability cannot be ensured, so that there is the problem that it is impossible to compatibly realize both the formation of a resist pattern having the desired sidewall angle and the resist removability of the resist pattern. The invention aims to solve the problem. A resist pattern including a diazonaphthoquinone (DNQ)-novolac resin type of positive resist is formed, and the resist pattern is irradiated with light within the range of photosensitive wavelengths of a DNQ photosensitizer to perform bake processing on the resist pattern at a temperature not lower than the glass transition temperature of the resist pattern.
    Type: Grant
    Filed: April 3, 2003
    Date of Patent: March 18, 2008
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Masaharu Nagai, Ichiro Uehara
  • Patent number: 7335464
    Abstract: A water-soluble resin composition of the present invention comprises at least a water-soluble resin, an acid generator capable of generating an acid by heating and a solvent containing water. The water-soluble resin composition is applied on a highly water-repellant resist pattern 3 formed by a resist such as an ArF-responsive radiation sensitive resin composition on a substrate 1 to form a coated layer 4 thereon. The resist pattern 3 and the coated layer 4 are heat-treated to form a developer-insoluble modified coated layer 5 in the vicinity of a surface of the resist pattern 3. The coated layer is developed and the resist pattern thickened by the modified layer 5 is formed. The modified layer is a layer with sufficient thickness and is able to be formed with a high dimensional controllability in a highly water-repellant resist pattern such as ArF-responsive radiation sensitive resin composition.
    Type: Grant
    Filed: February 16, 2004
    Date of Patent: February 26, 2008
    Assignee: AZ Electronic Materials USA Corp.
    Inventors: Yusuke Takano, Sung-Eun Hong
  • Publication number: 20080044764
    Abstract: There is provided a photosensitive thermosetting resin composition used for producing a permanent film, capable of forming a resin layer which is excellent in fluidity upon heat bonding after pattern, formation and also has excellent adhesion as well as bonding properties and/or sealing properties. This composition contains a reaction product of (A) an alkali soluble resin and (C) a 10 crosslinking polyvinyl ether compound, (B) a compound generating an acid under irradiation with radiation, and (D) an epoxy resin.
    Type: Application
    Filed: November 18, 2004
    Publication date: February 21, 2008
    Inventors: Toru Takahashi, Naoya Katsumata, Hiroki Maeda
  • Patent number: 7312005
    Abstract: A method of manufacturing a color filter comprises: applying a color filter material of a negative type onto a surface; an exposing treatment to the color filter material; a developing treatment for the exposed color filter material; a light irradiating step of irradiating a light on the developed color filter material; and a heating treatment, in this order, so as to form the color filter.
    Type: Grant
    Filed: March 13, 2006
    Date of Patent: December 25, 2007
    Assignee: Fujilfilm Corporation
    Inventor: Tsuneo Sasamoto
  • Patent number: 7309562
    Abstract: A method of manufacturing a microlens comprising the steps of: (a) applying a resist for an excimer laser, an ultraviolet exposure or an electron beam onto a surface, and carrying out an exposure with a light in an ultraviolet region or an electron beam and a development, so as to form a patterned resist; (b) heat treating the resist patterned at the step (a) to give a shape of a microlens; and (c) implanting an ion in a plurality of directions into at least a surface portion of the resist to which the shape of the microlens is given at the step (b), to obtain a microlens having a heat resistance.
    Type: Grant
    Filed: February 23, 2006
    Date of Patent: December 18, 2007
    Assignee: Fujifilm Corporation
    Inventor: Hiroshi Tomizawa
  • Patent number: 7306899
    Abstract: An exemplary method for manufacturing photoresist includes the steps of: adding a metal salt into an aqueous solution, whereby the aqueous solution contains metallic ions (211); adding a sulfide containing sulfur ions (231) into the aqueous solution; adding a polymerizable surfactant (220) into the aqueous solution thereby forming metallic ion reverse micelles (210) and sulfur ion reverse micelles (230); reacting the metallic ion reverse micelles and the sulfur ion reverse micelles to create monomeric sulfureted metal nanoparticle reverse micelles (240); aggregating the monomeric sulfureted metal nanoparticle reverse micelles to polymeric macromolecular nanoparticles; and doping the polymeric macromolecules nanoparticles into a base material in order to obtain the photoresist having sulfureted metal nanoparticles. A diameter of the nanoparticles is in the range from 1×10?9 meters to 1×10?7 meters.
    Type: Grant
    Filed: July 24, 2006
    Date of Patent: December 11, 2007
    Assignee: Innolux Display Corp.
    Inventor: MeiLing Wu
  • Publication number: 20070281248
    Abstract: An integrated circuit fabrication process as described herein employs a photoresist stabilization step where patterned photoresist material is exposed to radiation having a wavelength that promotes cross-linking in the shallow surfaces of the patterned photoresist features. The patterned photoresist material is highly absorptive of the stabilizing radiation, which results in the surface cross-linking and modification of the outer surfaces of the patterned photoresist material. This modified “shell” is immune to photoresist developer, photoresist solvents, intense ion implantation, and intense etchants. The shell also enables for the resist not to deform when baked at a temperature above its glass transition temperature. For example, the photoresist stabilization technique can be used in a double exposure process such that a patterned photoresist layer remains intact during a subsequent lithographic sub-process.
    Type: Application
    Filed: May 31, 2006
    Publication date: December 6, 2007
    Inventors: Harry J. Levinson, Ryoung-han Kim, Thomas I. Wallow
  • Publication number: 20070248899
    Abstract: A pattern decomposition and optical proximity correction method for double exposure comprises defining second exposure patterns by performing a logical operation on target patterns and first exposure patterns, comparing the first and second exposure patterns with the target patterns by performing a logical operation on the first and second exposure patterns, performing optical proximity correction on the first exposure patterns to form fourth exposure patterns, performing the optical proximity correction on the second exposure patterns to form fifth exposure patterns, and comparing the fourth and fifth exposure patterns with the target patterns by performing a logical operation on the fourth and fifth exposure patterns.
    Type: Application
    Filed: December 28, 2006
    Publication date: October 25, 2007
    Applicant: Hynix Semiconductor Inc.
    Inventor: Jae Seung Choi
  • Patent number: 7279254
    Abstract: The invention is directed to methods of making an article bearing a relief image using a removable film. First, an imageable film that contains at least a mask substrate and an imageable material is imagewise exposed to imaging radiation to form an imaged film. The imaged film is then transferred to an imageable article, such as a flexographic printing plate precursor. The resulting assembly is exposed to curing radiation resulting in exposed and unexposed areas of photosensitive material on the imageable article. Following exposure to curing radiation, the imaged film is then removed from the imageable article. The imageable article is then developed with a suitable developer to form a relief image. The imaged film may then be reused to make additional articles bearing the relief image.
    Type: Grant
    Filed: May 16, 2005
    Date of Patent: October 9, 2007
    Assignee: Eastman Kodak Company
    Inventor: Gregory L. Zwadlo
  • Patent number: 7264909
    Abstract: An exposure parameter obtaining method comprising forming a charged reference pattern and a plurality of charged exposure patterns at a surface region of a to-be-exposed insulation substrate by projecting a charged beam with a first incident energy using a reference pattern whose exposure parameter has been known beforehand and all of selected exposure patterns to be corrected, forming electron signal images for the charged reference pattern and the plurality of charged exposure patterns on the basis of charged particles including secondary electrons by scanning the surface of the insulation substrate with a charged beam with a second incident energy lower than the first incident energy, and creating, on the basis of the electron signal images, the exposure parameters including at least one of position, focal point, astigmatism, rotation, and magnification for all of the selected exposure patterns to be corrected.
    Type: Grant
    Filed: November 8, 2005
    Date of Patent: September 4, 2007
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Tetsuro Nakasugi
  • Patent number: 7247412
    Abstract: An embodiment includes a method of correcting deviations of critical dimensions of patterns formed on a wafer in an extreme ultraviolet lithography (EUVL) process. The embodiment includes preparing a reflection photo mask having a reflection layer and absorption patterns that are formed on the reflection layer to define reflection regions therebetween. An exposure process is performed using the reflection photo mask, thereby forming the patterns on the wafer. Critical dimensions of the patterns are measured. A reference critical dimension is set based on the measured critical dimensions of the patterns. Critical dimension deviations are determined by comparing the measured critical dimensions of the patterns with the reference critical dimension. Energy beams having energies corresponding to the critical dimension deviations are locally irradiated onto the reflection layer, thus locally varying the thickness of the reflection layer.
    Type: Grant
    Filed: December 27, 2005
    Date of Patent: July 24, 2007
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Myoung-Soo Lee
  • Patent number: 7241537
    Abstract: Method for producing an exposed substrate, which has at least two different image areas. The substrate is provided with at least two photoresist layers, which are adjusted to the type of image areas to be produced.
    Type: Grant
    Filed: February 24, 2004
    Date of Patent: July 10, 2007
    Assignee: Giesecke & Devrient GmbH
    Inventor: Wittich Kaule
  • Patent number: 7229742
    Abstract: Methods to reduce the write time for forming mask patterns having angled and non-angled features using electron beam lithography are disclosed. In one exemplary embodiment, non-angled features of the mask pattern are formed by exposure to an electron beam. The orientation of the substrate and a path of the generally rectangular-shaped shot from the electron beam may be relatively altered such that the substrate is exposed to the electron beam to form the angled features as if they were non-angled features. In another exemplary embodiment, the electron beam lithography system determines whether it is necessary to relatively alter the orientation of the substrate and a path of the generally rectangular-shaped shot from the electron beam to form the angled features based on the number of angled features and the time required for relatively altering the orientation. Electron beam lithography systems employing a rotatable stage, rotatable apertures, or both, are also disclosed.
    Type: Grant
    Filed: April 14, 2004
    Date of Patent: June 12, 2007
    Assignee: Micron Technology, Inc.
    Inventor: Baorui Yang