Including Heating Patents (Class 430/330)
  • Patent number: 11488846
    Abstract: A substrate processing method includes supplying a water-soluble polymer solution to a surface of a substrate having, on a surface of the substrate, a resist film on which no pattern is formed, after an immersion exposure process, hydrophilizing a surface of the resist film using the supplied water-soluble polymer solution, supplying, after the hydrophilizing, a cleaning liquid to the surface of the substrate while rotating the substrate to remove the water-soluble polymer solution that has not contributed to the hydrophilizing, and drying the substrate supplied with the cleaning liquid, wherein the water-soluble polymer solution has a pH value that allows an acid concentration in the resist film to fall within a permissible range.
    Type: Grant
    Filed: October 28, 2020
    Date of Patent: November 1, 2022
    Assignee: TOKYO ELECTRON LIMITED
    Inventor: Akiko Kai
  • Patent number: 10754247
    Abstract: The present disclosure proposes a manufacturing method for a metal grating, a metal grating, and a display device. The manufacturing method comprises: forming a metal layer, an antireflective layer and a deep UV photoresist layer sequentially on a base substrate; etching the deep UV photoresist layer by a photolithography process, so as to form a grating mask pattern; etching the antireflective layer by a dry etching process with the help of the grating mask pattern, so as to form an etch mask pattern identical to the grating mask pattern; peeling off the grating mask pattern; etching the metal layer by a dry etching process with the help of the etch mask pattern, so as to form metal grating strips; and removing the etch mask pattern, thus forming a metal grating.
    Type: Grant
    Filed: March 30, 2018
    Date of Patent: August 25, 2020
    Assignees: BOE TECHNOLOGY GROUP CO., LTD., BEIJING BOE DISPLAY TECHNOLOGY CO., LTD.
    Inventors: Yonglian Qi, Lianjie Qu, Bingqiang Gui, Hebin Zhao, Yun Qiu
  • Patent number: 10573519
    Abstract: A method for performing a photolithography process is provided. The method includes forming a resist layer over a substrate and exposing a portion of the resist layer to form an exposed region and an unexposed region by performing an exposure process. The method includes performing a baking process on the resist layer, so that voids are formed in the exposed region of the resist layer. The method also includes removing the unexposed region of the resist layer to form a recess in the resist layer and filling a post treatment coating material in the recess and the void. The method further includes removing a portion of the post treatment coating material by performing a second develop process, and another portion of the post treatment coating material is left on surfaces of the exposed region of the resist layer to form a patterned resist layer.
    Type: Grant
    Filed: February 27, 2018
    Date of Patent: February 25, 2020
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Tsung-Han Ko, Joy Cheng, Ching-Yu Chang, Chin-Hsiang Lin
  • Patent number: 10411144
    Abstract: A semiconductor electrode according to the present disclosure includes a conductive substrate; a semiconductor layer which is provided on the conductive substrate, and absorbs visible light; and a protection layer with which the semiconductor layer is coated, in which the protection layer is formed of an oxynitride, the visible light travels through the protection layer, and the protection layer has a thinner thickness than the semiconductor layer.
    Type: Grant
    Filed: May 15, 2018
    Date of Patent: September 10, 2019
    Assignee: PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD.
    Inventors: Hideaki Murase, Ryosuke Kikuchi
  • Patent number: 10379265
    Abstract: A surface display unit incorporates an opaque screen (180) and an image panel (110). The opaque screen is disposed on the front side of the image panel which provides an optical image. The opaque screen generally hides the image panel while the surface display unit is not in use. When the image panel is activated to provide an optical image, the opaque screen provides a suitable level of transparency so that a viewer can observe the optical image with sufficient clarity. The opaque screen can provide optical enhancement, decorative texture, and/or mechanical support and include antiglare, anti-reflective, and anti-scratch films (140, 150, 160). The opque screen can be a laminated polymer film, an electrochromic or photochromic system, or a switchable dye doped liquid crystal material.
    Type: Grant
    Filed: May 10, 2016
    Date of Patent: August 13, 2019
    Assignee: CORNING INCORPORATED
    Inventor: Gordon Charles Brown
  • Patent number: 10318033
    Abstract: The present application discloses a touch substrate including a base substrate; a transparent touch electrode layer on the base substrate; and an anti-reflective layer on a side of the transparent touch electrode layer distal to the base substrate. The anti-reflective layer includes a first sub-layer on a side of the transparent touch electrode layer distal to the base substrate; a second sub-layer on a side of the first sub-layer distal to the transparent touch electrode layer; and a third sub-layer on a side of the second sub-layer distal to the first sub-layer. The first sub-layer, the second sub-layer, and the third sub-layer are laminated together. The second sub-layer has a refractive index greater than those of the first sub-layer and the third sub-layer.
    Type: Grant
    Filed: March 28, 2017
    Date of Patent: June 11, 2019
    Assignees: BOE TECHNOLOGY GROUP CO., LTD., HEFEI XINXHENG OPTOELECTRONICS TECHNOLOGY CO., LTD.
    Inventors: Jiawei Xu, Lei Zhang, Tsung Chieh Kuo, Wenjin Fan, Chaoke Zhang
  • Patent number: 9891302
    Abstract: According to an aspect of the present inventive concept there is provided a method for quantifying isotropic diffusion and/or anisotropic diffusion in a sample, the method comprising: performing diffusion weighted magnetic resonance measurements on the sample using diffusion encoding magnetic gradient pulse sequences Gi=1. . . m, wherein each magnetic gradient pulse sequence Gi is generated such that a diffusion encoding tensor bi for the magnetic gradient pulse sequence Gi has one to three non-zero eigenvalues, where bi=Formula (I), qi(t) is proportional to Formula (II) and t is an echo time.
    Type: Grant
    Filed: February 10, 2015
    Date of Patent: February 13, 2018
    Assignee: CR DEVELOPMENT AB
    Inventors: Daniel Topgaard, Samo Lasic, Markus Nilsson
  • Patent number: 9851357
    Abstract: The present invention relates to an in vitro method for the prognosis of survival of a patient suffering from a solid cancer, comprising the quantification of the cell density of CD8+ cells and DC-LAMP+ dendritic cells present in a tumor tissue sample from said patient, wherein a high density of CD8+ cells and DC-LAMP+ dendritic cells indicates that the patient has a favorable prognosis, a high density of CD8+ cells and a low density of DC-LAMP+ dendritic cells indicates that the patient has a poor prognosis, and a low density of CD8+ cells and DC-LAMP+ dendritic cells indicates that the patient has the worst prognosis.
    Type: Grant
    Filed: July 11, 2014
    Date of Patent: December 26, 2017
    Assignees: INSERM (INSTITUTE NATIONAL DE LA SANTE ET DE LA RECHERCHE MEDICALE, UNIVERSITE PARIS DESCARTES, UNIVERSITE PIERRE ET MARIE CURIE (PARIS6), ASSISTANCE PUBLIQUE HOPITAUX DE PARIS
    Inventors: Catherine Sautes-Fridman, Wolf-Herve Fridman, Marie-Caroline Dieu-Nosjean, Jeremy Goc
  • Patent number: 9429840
    Abstract: A pattern forming method includes: (i) a step of forming a first film by using an actinic ray-sensitive or radiation-sensitive resin composition (I), (ii) a step of exposing the first film, (iii) a step of developing the exposed first film by using an organic solvent-containing developer to form a negative pattern, (iv) a step of forming a second film on the negative pattern by using a specific composition (II), (v) a step of increasing polarity of the specific compound present in the second film, and (vi) a step of removing a specific area of the second film by using the organic solvent-containing remover.
    Type: Grant
    Filed: December 12, 2014
    Date of Patent: August 30, 2016
    Assignee: FUJIFILM Corporation
    Inventors: Kei Yamamoto, Ryosuke Ueba
  • Patent number: 9281327
    Abstract: There is provided an apparatus including an image sensor of a back-illuminated type using a complementary metal oxide semiconductor (CMOS), including a light receiving unit, formed in a semiconductor substrate, which receives incident light, an anti-reflection film formed on a back-surface side of the semiconductor substrate in which the light receiving unit is formed, and a silicon oxide film, formed on a back-surface side of the anti-reflection film, which has a refractive index lower than a silicon nitride film and has a higher density in a back-surface side than in a front-surface side thereof.
    Type: Grant
    Filed: August 5, 2013
    Date of Patent: March 8, 2016
    Assignee: SONY CORPORATION
    Inventors: Takamasa Tanikuni, Shinpei Yamaguchi, Shuji Manda
  • Patent number: 9239520
    Abstract: A system and method for reducing defects in photoresist processing is provided. An embodiment comprises cleaning the photoresist after development using an alkaline environment. The alkaline environment may comprise a neutral solvent and an alkaline developer. The alkaline environment will modify the attraction between residue leftover from development and a surface of the photoresist such that the surfaces repel each other, making the removal of the residue easier. By removing more residue, there will be fewer defects in the photolithographic process.
    Type: Grant
    Filed: April 27, 2015
    Date of Patent: January 19, 2016
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Wen-Yun Wang, Ching-Yu Chang
  • Patent number: 9214367
    Abstract: The application describes an apparatus and a method for the thermal treatment of substrates, in particular thin film substrates for photovoltaic applications. The apparatus comprises at least one substrate carrier for supporting a substrate, a heating unit having at least one heating element for heating a substrate located on the substrate carrier and at least one heating element carrier for supporting the at least one heating element. The heating element carrier is designed to allow a local change in distance between the substrate carrier and the heating element, so as to be able to provide locally different heating intensities. In the method such a change in distance is carried out during the thermal treatment.
    Type: Grant
    Filed: December 16, 2011
    Date of Patent: December 15, 2015
    Assignee: Centrotherm Photovoltaics AG
    Inventors: Oliver Pursche, Peter Volk
  • Patent number: 9040225
    Abstract: The present invention relates to a developable bottom antireflective coating (BARC) composition and a pattern forming method using the BARC composition. The BARC composition includes a first polymer having a first carboxylic acid moiety, a hydroxy-containing alicyclic moiety, and a first chromophore moiety; a second polymer having a second carboxylic acid moiety, a hydroxy-containing acyclic moiety, and a second chromophore moiety; a crosslinking agent; and a radiation sensitive acid generator. The first and second chromophore moieties each absorb light at a wavelength from 100 nm to 400 nm. In the patterning forming method, a photoresist layer is formed over a BARC layer of the BARC composition. After exposure, unexposed regions of the photoresist layer and the BARC layer are selectively removed by a developer to form a patterned structure in the photoresist layer. The BARC composition and the pattern forming method are especially useful for implanting levels.
    Type: Grant
    Filed: October 30, 2014
    Date of Patent: May 26, 2015
    Assignee: International Business Machines Corporation
    Inventors: Kuang-Jung Chen, Steven J. Holmes, Wu-Song Huang, Ranee W. Kwong, Sen Liu
  • Patent number: 9034567
    Abstract: Provided is a method for manufacturing a liquid crystal display device that includes a photoalignment film. The photoalignment film is formed from a liquid crystal alignment agent, and aligns liquid crystal molecules horizontally to the main face of the at least one of the substrates. The liquid crystal alignment agent contains a solvent and at least two kinds of polyamic acids or their derivatives obtained by reacting diamine and tetracarboxylic dianhydride. At least two of the diamines and at least one of the tetracarboxylic dianhydrides are compounds represented by predetermined formulas. The method includes the steps of: (1) forming the film of the liquid crystal alignment agent; (2) pre-baking the film; (3) irradiating the pre-baked film with light; and (4) post-baking the irradiated film, the step (4) including an operation of post-baking the film multiple times at temperatures ranging from low to high temperatures.
    Type: Grant
    Filed: August 21, 2014
    Date of Patent: May 19, 2015
    Assignees: Sharp Kabushiki Kaisha, JNC Corporation
    Inventors: Isamu Miyake, Hiroaki Asagi, Toshihiro Matsumoto, Koichi Miyachi, Youichiro Ooki, Fumitaka Kondo
  • Patent number: 9034565
    Abstract: A substrate for an organic light-emitting device which can improve the light extraction efficiency of an organic light-emitting device while realizing an intended level of transmittance, a method of fabricating the same, and an organic light-emitting device having the same. Light emitted from the OLED is emitted outward through the substrate. The substrate includes a substrate body and a number of crystallized particles disposed inside the substrate body, the number of crystallized particles forming a pattern inside the substrate body.
    Type: Grant
    Filed: May 2, 2014
    Date of Patent: May 19, 2015
    Assignee: Samsung Corning Precision Materials Co., Ltd.
    Inventors: Kiyeon Lee, Jhee-Mann Kim, Youngseok Lee, Kyungmin Yoon, Jaeho Lee
  • Patent number: 9029070
    Abstract: There are provided a method of forming a resist pattern includes: a step (1) in which a resist composition containing a base component (A) that generates base upon exposure and exhibits increased solubility in an alkali developing solution by the action of acid is applied to a substrate to form a resist film; a step (2) in which the resist film 2 is subjected to exposure; a step (3) in which baking is conducted after the step (2); and a step (4) in which the resist film 2 is subjected to an alkali development, thereby forming a negative-tone resist pattern in which the unexposed portion 2b of the resist film 2 has been dissolved and removed, and the resist composition used in the step (1).
    Type: Grant
    Filed: November 2, 2012
    Date of Patent: May 12, 2015
    Assignee: Tokyo Ohka Kogyo Co., Ltd
    Inventors: Hiroaki Shimizu, Tsuyoshi Nakamura, Jiro Yokoya, Hideto Nito
  • Patent number: 9029062
    Abstract: A method and photoresist material for the patterning of integrated circuit (IC) components using ultra violet (UV) and extreme ultraviolet lithography (EUV) that includes providing a substrate, forming a first material layer over the substrate, forming a second material layer over the first material layer, the second material layer having a luminescent agent, and exposing one or more portions of the second material layer.
    Type: Grant
    Filed: June 30, 2010
    Date of Patent: May 12, 2015
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chien-Wei Wang, Chun-Ching Huang
  • Patent number: 9029073
    Abstract: A undercoat agent used for performing phase separation of a layer formed on a substrate and containing a block copolymer having a plurality of blocks bonded, wherein the undercoat agent contains a resin component, the resin component is formed from a structural unit having an aromatic ring and a structural unit not having an aromatic ring, and the resin component has a group that can interact with the substrate, and also has a 3 to 7-membered, ether-containing cyclic group.
    Type: Grant
    Filed: March 5, 2013
    Date of Patent: May 12, 2015
    Assignee: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Takahiro Senzaki, Ken Miyagi, Kenichiro Miyashita
  • Patent number: 9029074
    Abstract: Provided is a method of water repellent treatment for a pattern surface, the method including the steps of agitatingly mixing a perfluoropolyether-group-containing silane water repellent, an organic acid, a fluorine-containing solvent capable of dissolving the perfluoropolyether-group-containing silane water repellent and the organic acid, and water to hydrolyze the perfluoropolyether-group-containing silane water repellent, thereby obtaining a partial hydrolysate solution; forming a photosensitive resin layer on a substrate; applying the partial hydrolysate solution onto the photosensitive resin layer to form a water-repellent film; performing patterning exposure to the photosensitive resin layer and the water-repellent film; performing heat treatment to collectively cure an exposed portion of the photosensitive resin layer and the water-repellent film; and removing a non-exposed portion of the photosensitive resin layer and the water-repellent film by development treatment to form a pattern.
    Type: Grant
    Filed: February 25, 2014
    Date of Patent: May 12, 2015
    Assignee: Canon Kabushiki Kaisha
    Inventors: Yohei Hamade, Etsuko Sawada, Ken Ikegame, Hiroaki Mihara, Satoshi Tsutsui
  • Patent number: 9029067
    Abstract: A resist pattern-insolubilizing resin composition is used in a resist pattern-forming method. The resist pattern-insolubilizing resin composition includes solvent and a resin. The resin includes a first repeating unit that includes a hydroxyl group in its side chain and at least one of a second repeating unit derived from a monomer shown by a following formula (1-1) and a third repeating unit derived from a monomer shown by a following formula (1-2), wherein for example, R1 represents a hydrogen atom, A represents a methylene group, R2 represents a group shown by a following formula (2-1) or a group shown by a following formula (2-2), R3 represents a methylene group, R4 represents a hydrogen atom, and n is 0 or 1, wherein each of R34 represents at least one of a hydrogen atom and a linear or branched alkyl group having 1 to 10 carbon atoms.
    Type: Grant
    Filed: September 16, 2014
    Date of Patent: May 12, 2015
    Assignee: JSR Corporation
    Inventors: Gouji Wakamatsu, Masafumi Hori, Kouichi Fujiwara, Makoto Sugiura
  • Patent number: 9023586
    Abstract: The invention provides a positive resist composition, wherein a polymer compound having the weight-average molecular weight in the range of 1,000 to 500,000 and comprising a repeating unit having a hydrogen atom in a carboxyl group and/or in a phenolic hydroxy group therein been substituted by an acid-labile group and a repeating unit “a” having a cyclopentadienyl complex shown by the following general formula (1) is used as a base resin therein. There can be a positive resist composition having not only small edge roughness (LER and LWR) while having a higher resolution than conventional positive resist compositions, but also a good pattern form after exposure and an extremely high etching resistance, especially a positive resist composition using a polymer compound suitable as a base resin for a chemically amplifying resist composition; and a patterning process.
    Type: Grant
    Filed: November 20, 2013
    Date of Patent: May 5, 2015
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventor: Jun Hatakeyama
  • Patent number: 9023585
    Abstract: A resist composition which generates a base upon exposure and exhibits increased solubility in an alkali developing solution under the action of acid, and the resist composition including: a base component (A) that exhibits increased solubility in an alkali developing solution under the action of acid; an acidic compound component (G1) including a nitrogen-containing cation having a pKa value of 7 or less and a counteranion; and a buffer component (K) including a nitrogen-containing cation and a counteranion being a conjugate base for the acid having a pKa value of 0 to 5.
    Type: Grant
    Filed: June 20, 2013
    Date of Patent: May 5, 2015
    Assignee: Tokyo Ohka Kogyo Co., Ltd
    Inventors: Tsuyoshi Nakamura, Jiro Yokoya, Hideto Nito, Hiroaki Shimizu
  • Patent number: 9017931
    Abstract: A negative pattern is formed by coating a resist composition comprising a polymer comprising recurring units having a tertiary ester type acid labile group having a plurality of methyl or ethyl groups on alicycle and an acid generator onto a substrate, prebaking, exposing to high-energy radiation, baking, and developing in an organic solvent developer so that the unexposed region of resist film is dissolved away and the exposed region of resist film is not dissolved. The resist composition exhibits a high dissolution contrast during organic solvent development and forms a fine hole or trench pattern of dimensional uniformity.
    Type: Grant
    Filed: August 16, 2013
    Date of Patent: April 28, 2015
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Koji Hasegawa, Jun Hatakeyama, Masayoshi Sagehashi, Teppei Adachi
  • Patent number: 9017933
    Abstract: A method for treating a dielectric film on a substrate and, in particular, a method for integrating a low-k dielectric film with subsequently formed metal interconnects is described. The method includes preparing a dielectric film on a substrate, wherein the dielectric film is a low-k dielectric film having a dielectric constant less than or equal to a value of about 4. Thereafter, the method further includes performing a preliminary curing process on the dielectric film, forming a pattern in the dielectric film using a lithographic process and an etching process, removing undesired residues from the substrate, and performing a final curing process on the dielectric film, wherein the final curing process includes irradiating the substrate with ultraviolet (UV) radiation.
    Type: Grant
    Filed: March 25, 2011
    Date of Patent: April 28, 2015
    Assignee: Tokyo Electron Limited
    Inventors: Junjun Liu, Dorel I. Toma, Hongyu Yue
  • Patent number: 9017934
    Abstract: A system and method for reducing defects in photoresist processing is provided. An embodiment comprises cleaning the photoresist after development using an alkaline environment. The alkaline environment may comprise a neutral solvent and an alkaline developer. The alkaline environment will modify the attraction between residue leftover from development and a surface of the photoresist such that the surfaces repel each other, making the removal of the residue easier. By removing more residue, there will be fewer defects in the photolithographic process.
    Type: Grant
    Filed: March 8, 2013
    Date of Patent: April 28, 2015
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Wen-Yun Wang, Ching-Yu Chang
  • Patent number: 9017918
    Abstract: A polymer is obtained from a hydroxyphenyl methacrylate monomer having an acid labile group substituted thereon. A positive resist composition comprising the polymer as a base resin has a very high contrast of alkaline dissolution rate before and after exposure, a high resolution, a good profile and minimal line edge roughness of a pattern after exposure, a retarded acid diffusion rate, and good etching resistance.
    Type: Grant
    Filed: June 1, 2011
    Date of Patent: April 28, 2015
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Jun Hatakeyama, Seiichiro Tachibana, Koji Hasegawa
  • Patent number: 9017928
    Abstract: A resin structure for the formation of a micro-structure is manufactured by (A) applying a composition comprising a polymer, a photoacid generator, an epoxy compound, and an organic solvent onto a substrate, (B) heating the composition to form a sacrificial film, (C) exposing imagewise the film to first high-energy radiation, (D) developing the film in an alkaline developer to form a sacrificial film pattern, (E) exposing the sacrificial film pattern to UV as second high-energy radiation, and (F) heating the substrate at 80-250° C. The exposure dose of first high-energy radiation in step (C) is up to 250 mJ/cm2. At the end of step (F), the sacrificial film has a sidewall angle of 80°-90° relative to the substrate.
    Type: Grant
    Filed: January 14, 2014
    Date of Patent: April 28, 2015
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Yoshinori Hirano, Masashi Iio, Hideyoshi Yanagisawa
  • Patent number: 9012126
    Abstract: The invention relates to a novel positive working photosensitive composition having: at least one photoacid generator; at least one novolak polymer; at least one polymer, having a polymer backbone, said polymer comprising a structure of the following formula: wherein R1-R5 are, independently, —H or —CH3, A is a linear or branched C1-C10 alkylene group, B is a C1-C12 alkyl or alicyclic group, D is a linking group that may be a chemical bond, a carboxylate group, wherein the carbonyl carbon is bonded to the polymer backbone, or a —COOCH2— group, wherein the carbonyl carbon is bonded to the polymer backbone, Ar is a substituted or unsubstituted aromatic group or heteroaromatic group, E is a linear or branched C2-C10 alkylene group, G is an acid cleavable group. The invention further relates to a process for using the novel composition for forming an image.
    Type: Grant
    Filed: June 15, 2012
    Date of Patent: April 21, 2015
    Assignee: AZ Electronic Materials (Luxembourg) S.A.R.L.
    Inventors: Weihong Liu, PingHung Lu, Chunwei Chen, Stephen Meyer, Medhat Toukhy, SookMee Lai
  • Patent number: 9005883
    Abstract: The invention provides a patterning process comprises the steps of: (1) forming a positive chemically amplifying type photoresist film on a substrate to be processed followed by photo-exposure and development thereof by using an organic solvent to obtain a negatively developed pattern, (2) forming a silicon-containing film by applying a silicon-containing film composition comprising a solvent and a silicon-containing compound capable of becoming insoluble in a solvent by a heat, an acid, or both, (3) insolubilizing in a solvent the silicon-containing film in the vicinity of surface of the negatively developed pattern, (4) removing the non-insolubilized part of the silicon-containing film to obtain an insolubilized part as a silicon-containing film pattern, (5) etching the upper part of the silicon-containing film pattern thereby exposing the negatively developed pattern, (6) removing the negatively developed pattern, and (7) transferring the silicon-containing film pattern to the substrate to be processed.
    Type: Grant
    Filed: July 26, 2013
    Date of Patent: April 14, 2015
    Assignee: Shin-Estu Chemical Co., Ltd.
    Inventors: Tsutomu Ogihara, Takafumi Ueda
  • Patent number: 9005871
    Abstract: Compounds of the formula (I), wherein Ar1 is for example phenylene or biphenylene both unsubstituted or substituted; Ar2 and Ar3 are for example independently of each other phenyl, naphthyl, biphenylylyl or heteroaryl, all optionally substituted; or Ar1 and Ar2 for example together with a direct bond, O, S or (CO), form a fused ring system; R is for example hydrogen, C3-C30cycloalkyl or C1-C18alkyl; and R1, R2 and R3 independently of each other are for example C1-C10haloalkyl; are effective photoacid generators (PAG).
    Type: Grant
    Filed: October 8, 2009
    Date of Patent: April 14, 2015
    Assignee: BASF SE
    Inventors: Hitoshi Yamato, Toshikage Asakura, Yuichi Nishimae
  • Patent number: 9005879
    Abstract: A method for manufacturing an electrode for a display apparatus includes printing and drying a conductive paste on a substrate, and printing a glass paste on the dried conductive paste, followed by patterning.
    Type: Grant
    Filed: December 5, 2013
    Date of Patent: April 14, 2015
    Assignee: Cheil Industries, Inc.
    Inventors: Ah Reum Koo, Min Su Park, Dong Il Shin, Ryun Min Heo, Won Hee Lee, Myung Sung Jung, Chul Kyu Kim
  • Patent number: 9005881
    Abstract: A lithography method of manufacturing integrated circuits is disclosed. A combination photoalignment-photoresist layer is formed on a substrate. A treatment is performed on the combination photoalignment-photoresist layer. The combination photoalignment-photoresist layer is exposed to a predetermined pattern. The combination photoalignment-photoresist layer is developed to form a pattern and expose a portion of the substrate.
    Type: Grant
    Filed: November 22, 2013
    Date of Patent: April 14, 2015
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Fong-Cheng Lee, Ching-Yu Chang
  • Patent number: 9005877
    Abstract: A method for patterning a layered structure is provided that includes performing photolithography to provide a developed prepattern layer on a horizontal surface of an underlying substrate, modifying the prepattern layer to form spaced apart inorganic material guides, casting and annealing a layer of a self-assembling block copolymer to form laterally-spaced cylindrical features, forming a pattern by selectively removing at least a portion of one block of the self-assembling block copolymer, and transferring the pattern to the underlying substrate. The method is suitable for making sub-50 nm patterned layered structures.
    Type: Grant
    Filed: May 15, 2012
    Date of Patent: April 14, 2015
    Assignee: Tokyo Electron Limited
    Inventors: Benjamen M. Rathsack, Mark H. Somervell, Meenakshisundaram Gandhi
  • Patent number: 8993221
    Abstract: An integrated circuit is made by depositing a pinning layer on a substrate. A block copolymer photoresist is formed on the pinning layer. The block copolymer has two blocks A and B that do not self-assemble under at least some annealing conditions. The exposed block copolymer photoresist is processed to cleave at least some block copolymer bonds in the exposed selected regions. The exposed pinning layer is processed to create a chemical epitaxial pattern to direct the local self assembly of the block copolymer.
    Type: Grant
    Filed: February 11, 2013
    Date of Patent: March 31, 2015
    Assignee: Pixelligent Technologies, LLC
    Inventors: Gregory D. Cooper, Brian L. Wehrenberg
  • Patent number: 8993201
    Abstract: Provided are an EUV mask blank in which deterioration in reflectivity due to oxidation of a Ru protective layer is prevented, a reflective layer-equipped substrate to be used for producing the EUV mask blank, and a process for producing the reflective layer-equipped substrate. A reflective layer-equipped substrate for EUV lithography comprising a substrate, and a reflective layer for reflecting EUV light and a protective layer for protecting the reflective layer, formed in this order on the substrate, wherein the reflective layer is a Mo/Si multilayer reflective film, the protective layer is a Ru layer or a Ru compound layer, and an intermediate layer containing from 0.5 to 25 at % of nitrogen and from 75 to 99.5 at % of Si is formed between the reflective layer and the protective layer.
    Type: Grant
    Filed: May 23, 2012
    Date of Patent: March 31, 2015
    Assignee: Asahi Glass Company, Limited
    Inventors: Masaki Mikami, Mitsuhiko Komakine, Yoshiaki Ikuta
  • Patent number: 8986923
    Abstract: Embodiments in accordance with the present invention encompass negative-tone, aqueous base developable, self-imagable polymer compositions useful for forming films that can be patterned to create structures for microelectronic devices, microelectronic packaging, microelectromechanical systems, optoelectronic devices and displays.
    Type: Grant
    Filed: October 10, 2013
    Date of Patent: March 24, 2015
    Assignee: Promerus, LLC
    Inventors: Brian Knapp, Edmund Elce, Hendra Ng, Andrew Bell, Cheryl Burns, Sridevi Kaiti, Brian Kocher, Yogesh Patel, Masanobu Sakamoto, Xiaoming Wu, Wei Zhang
  • Patent number: 8986920
    Abstract: A method for forming quarter-pitch patterns is described. Two resist layers are formed. The upper resist layer is defined into first patterns. A coating that contains or generates a reactive material making a resist material dissolvable is formed over the lower resist layer and the first patterns. The reactive material is diffused into a portion of each first pattern and portions of the lower resist layer between the first patterns to react with them. The coating is removed. A development step is performed to remove the portions of the first patterns and the portions of the lower resist layer, so that the lower resist layer is patterned into second patterns. Spacers are formed on the sidewalls of the remaining first patterns and the second patterns. The remaining first patterns are removed, and portions of the second patterns are removed using the spacers on the second patterns as a mask.
    Type: Grant
    Filed: November 13, 2012
    Date of Patent: March 24, 2015
    Assignee: Nanya Technology Corporation
    Inventor: Hung-Jen Liu
  • Patent number: 8980538
    Abstract: A method of forming a layered substrate comprising a self-assembled material is provided. The method includes forming a first layer of material on a substrate, forming a layer of a radiation sensitive material on the first layer of material, imaging the layer of the radiation sensitive material with patterned light, heating the layer of the radiation sensitive material to a temperature at or above the cross-linking reaction temperature, developing the imaged layer, and forming the block copolymer pattern. The radiation sensitive material comprises at least one photo-sensitive component selected from (a) a photo-decomposable cross-linking agent, (b) a photo-base generator, or (c) a photo-decomposable base; and a cross-linkable polymer, wherein imaging by the patterned light provides a pattern defined by a first region having substantial portions of a decomposed photo-sensitive component surrounded by regions having substantial portions of intact photo-sensitive component.
    Type: Grant
    Filed: March 14, 2013
    Date of Patent: March 17, 2015
    Assignee: Tokyo Electron Limited
    Inventors: Mark H. Somervell, Michael A. Carcasi
  • Patent number: 8980724
    Abstract: A system and method of manufacturing a semiconductor device lithographically and an article of manufacture involving a lithographic double patterning process having a dye added to either the first or second lithographic pattern are provided. The dye is used to detect the location of the first lithographic pattern and to directly align the second lithographic pattern to it. The dye may be fluorescent, luminescent, absorbent, or reflective at a specified wavelength or a given wavelength band. The wavelength may correspond to the wavelength of an alignment beam. The dye allows for detection of the first lithographic pattern even when it is over coated with a radiation sensitive-layer (e.g., resist).
    Type: Grant
    Filed: March 10, 2014
    Date of Patent: March 17, 2015
    Assignees: ASML Holding N.V., ASML Netherlands B.V.
    Inventors: Harry Sewell, Mircea Dusa, Richard Johannes Franciscus Van Haren, Manfred Gawein Tenner, Maya Angelova Doytcheva
  • Patent number: 8975007
    Abstract: A method of forming a polymer nanofiber-metal nanoparticle composite pattern includes forming on a substrate a polymer nanofiber layer comprising polymer nanofibers made from polymers including a heteroaryl group; selectively exposing to UV-ozone a part of the polymer nanofiber layer through an aperture of a mask; selectively removing a part of the polymer nanofiber layer which was not exposed to UV-ozone from the polymer nanofiber layer to form a polymer nanofiber layer pattern; depositing a metal precursor on the polymer nanofiber layer pattern; and reducing the metal precursor into a metal.
    Type: Grant
    Filed: May 12, 2014
    Date of Patent: March 10, 2015
    Assignees: Samsung Electronics Co., Ltd., Industry-Academic Cooperation Foundation, Yonsei University
    Inventors: Jung-kyun Im, Min-woo Park, Jong-jin Park, Un-yong Jeong
  • Patent number: 8975010
    Abstract: A method of forming a resist pattern including: applying a first resist composition containing a base component that exhibits increased solubility in an alkali developing solution and a photobase generator component that generates a base upon exposure to a substrate to form a first resist film; conducting exposure; conducting baking; conducting an alkali development, thereby forming a negative-tone resist pattern; applying a second resist composition containing a second base component that exhibits increased solubility in an alkali developing solution, an acid generator component that generates acid upon exposure and an organic solvent that does not dissolve the negative-tone resist pattern to the substrate having the negative-tone resist pattern formed thereon to form a second resist film; conducting exposure; and conducting an alkali development, thereby forming a resist pattern.
    Type: Grant
    Filed: December 18, 2012
    Date of Patent: March 10, 2015
    Assignee: Tokyo Ohka Kogyo Co., Ltd.
    Inventor: Masaru Takeshita
  • Patent number: 8968979
    Abstract: A positive resist composition comprises a polymer comprising repeat units having formula (1) or (2). Herein denotes an aromatic hydrocarbon group, R1 is H, methyl or trifluoromethyl, R2 is H, C1-C12 alkyl or aromatic hydrocarbon group, R3 is C1-C12 alkyl, or R2 and R3 may bond together to form a ring, and a is 1 or 2. When used in the ArF lithography, the resist composition exhibits high resolution. When used in the EB image writing for mask processing, the resist composition exhibits high resolution and sensitivity sufficient to comply with high-accelerating-voltage EB irradiation, and high etch resistance.
    Type: Grant
    Filed: November 20, 2009
    Date of Patent: March 3, 2015
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Katsuya Takemura, Keiichi Masunaga, Daisuke Domon, Masayoshi Sagehashi
  • Patent number: 8968990
    Abstract: A method of forming a resist pattern, including: step (1) in which a resist composition including a base component, a photobase generator component and an acid supply component is applied to a substrate to form a resist film; step (2) in which the resist film is subjected to exposure without being subjected to prebaking; step (3) in which baking is conducted after step (2), such that, at an exposed portion of the resist film, the base generated from the photobase generator component upon the exposure and an acid derived from the acid supply component are neutralized, and at an unexposed portion of the resist film, the solubility of the base component in an alkali developing solution is increased by the action of the acid derived from the acid supply component; and step (4) in which the resist film is subjected to an alkali development, thereby forming a negative-tone resist pattern.
    Type: Grant
    Filed: September 13, 2012
    Date of Patent: March 3, 2015
    Assignee: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Jiro Yokoya, Tsuyoshi Nakamura, Hiroaki Shimizu, Hideto Nito
  • Patent number: 8956789
    Abstract: Methods for fabricating integrated circuits are provided. In one example, a method for fabricating an integrated circuit includes patterning a first photoresist layer overlying a mask blank that is mounted on a first chuck to form a first patterned photoresist layer. The mask blank is selectively etched using the first patterned photoresist layer to form a first patterned mask. The first patterned mask is mounted on a second chuck and a non-flatness compensation is determined. The first patterned mask is mounted on the first chuck and a second photoresist layer is patterned overlying the first patterned mask to form a second patterned photoresist layer. The second patterned photoresist layer includes a device pattern that has been adjusted using the non-flatness compensation. The first patterned mask is selectively etched using the second patterned photoresist layer to form a second patterned mask.
    Type: Grant
    Filed: March 15, 2013
    Date of Patent: February 17, 2015
    Assignee: GLOBALFOUNDRIES, Inc.
    Inventor: Sudharshanan Raghunathan
  • Patent number: 8956806
    Abstract: A method and material layer for forming a pattern are disclosed. The method includes providing a substrate; forming a first material layer over the substrate; forming a second material layer over the first material layer, wherein the second material layer comprises a photoacid generator and a photobase generator; and exposing one or more portions of the second material layer.
    Type: Grant
    Filed: September 18, 2009
    Date of Patent: February 17, 2015
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chien-Wei Wang, Ching-Yu Chang, Burn Jeng Lin
  • Patent number: 8956800
    Abstract: A method of forming a resist pattern, including: step (1) in which a resist composition containing a base component (A) that exhibits increased solubility in an alkali developing solution and a compound represented by general formula (C1) is applied to a substrate to form a resist film, step (2) in which the resist film is subjected to exposure, step (3) in which baking is conducted after step (2), and step (4) in which the resist film is subjected to an alkali development, thereby forming a negative-tone resist pattern; and the resist composition used in step (1): wherein R1 represents a group which forms an aromatic ring together with the two carbon atoms bonded to the R1 group; R2 represents a hydrogen atom or a hydrocarbon group; and R3 represents a hydrogen atom, a carboxy group or a hydrocarbon group of 1 to 15 carbon atoms.
    Type: Grant
    Filed: January 2, 2013
    Date of Patent: February 17, 2015
    Assignee: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Hiroaki Shimizu, Jiro Yokoya, Tsuyoshi Nakamura, Hideto Nito
  • Patent number: 8956804
    Abstract: A block copolymer, adapted to self-assemble to form an ordered pattern on a substrate, has first and second blocks with a terminal moiety covalently bonded to the end of the first block. The molecular weight of the terminal moiety is 20% or less than that of the block copolymer and the terminal moiety has a low chemical affinity for the first block. The terminal moiety can assist the accurate positional placement of the domains of the ordered array and lead to improved critical dimension uniformity and/or reduced line edge roughness. The polymer may be useful in combination with a graphoepitaxy template, where the terminal moiety is chosen to associate with a sidewall of the template. This may reduce undesired aggregation of polymer domains at a sidewall and/or assist in domain placement accuracy.
    Type: Grant
    Filed: June 7, 2012
    Date of Patent: February 17, 2015
    Assignee: ASML Netherlands B.V.
    Inventors: Aurelie Marie Andree Brizard, Sander Frederik Wuister, Roelof Koole, Emiel Peeters
  • Patent number: 8956803
    Abstract: The present invention provides a sulfonium salt used in a resist composition that can give a pattern having a high resolution, especially an excellent rectangularity of a pattern form and a small roughness, while not readily generating a defect, in the photolithography using a high energy beam as a light source; a resist composition that contains the sulfonium salt; and a patterning process using this resist composition, wherein the sulfonium salt is shown by the following general formula (1a), wherein each of R and R0 independently represents a hydrogen atom, or a linear, branched, or cyclic monovalent hydrocarbon group having 1 to 30 carbon atoms which may be optionally substituted by a heteroatom or interposed by a heteroatom.
    Type: Grant
    Filed: December 6, 2013
    Date of Patent: February 17, 2015
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Masaki Ohashi, Tomohiro Kobayashi, Akihiro Seki, Masayoshi Sagehashi, Masahiro Fukushima
  • Patent number: 8951698
    Abstract: A method forming a pattern includes a process in which self-assembly material is formed on the substrate where on which a fiducial mark is formed, and the self-assembly material is separated in micro phase to form a self-assembled pattern. The position error from a predetermined formation position of the self-assembled pattern is measured on the basis of the fiducial mark, and a pattern for an alignment as well as a peripheral circuit pattern are formed on the substrate. The formation position of at least one pattern among the pattern for alignment and peripheral circuit pattern is corrected using the position error.
    Type: Grant
    Filed: March 4, 2013
    Date of Patent: February 10, 2015
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Rikiya Taniguchi, Hideaki Sakurai, Shinichi Ito
  • Patent number: 8951425
    Abstract: A method of forming at least one curved plate having first and second layers, the first layer being formed of a first material and the second layer being formed of a second material, the method including forming one or more blocks of a fusible material on a surface of a substrate; baking the one or more blocks to deform their shape; and depositing the first and second materials over the one or more deformed blocks to form the first and second layers.
    Type: Grant
    Filed: March 28, 2013
    Date of Patent: February 10, 2015
    Assignee: STMicroelectronics (Crolles 2) SAS
    Inventors: Stéphane Monfray, Thomas Skotnicki, Onoriu Puscasu, Christophe Maitre