Including Heating Patents (Class 430/330)
  • Patent number: 8802348
    Abstract: A radiation-sensitive resin composition includes (A) an acid labile group-containing resin which becomes alkali-soluble by an action of an acid, (B) a radiation-sensitive acid generator, and (C) a solvent. The resin (A) includes repeating units shown by formulas (1) and (2), wherein R1 and R2 represent a hydrogen atom or a substituted or unsubstituted alkyl group having 1 to 4 carbon atoms, R3 represents a substituted or unsubstituted alkyl group having 1 to 4 carbon atoms, X represents a hydrogen atom, a hydroxyl group, or an acyl group, m represents an integer from 1 to 18, and n represents an integer from 4 to 8.
    Type: Grant
    Filed: February 7, 2010
    Date of Patent: August 12, 2014
    Assignee: JSR Corporation
    Inventors: Noboru Otsuka, Takanori Kawakami, Yukio Nishimura, Makoto Sugiura
  • Patent number: 8802351
    Abstract: A water dispersible composition comprises a polyaniline copolymer having a weight average molecular weight of at least 30,000 and a polymeric acid comprising sulfonic acid groups. The polyaniline copolymer comprises i) about 10 mol % to about 15 mol % of a fluorine-containing first aniline repeat unit based on total moles of repeat units in the polyaniline copolymer, and ii) a second aniline repeat unit comprising no fluorine. The sulfonic acid groups of the polymeric acid are present in a molar amount greater than or equal to total moles of repeat units of the polyaniline copolymer. The composition has a conductivity of at least 0.0001 S/cm.
    Type: Grant
    Filed: July 31, 2012
    Date of Patent: August 12, 2014
    Assignees: International Business Machines Corporation, Shin-Etsu Chemical Co. Ltd.
    Inventors: Luisa Dominica Bozano, Takayuki Nagasawa, Mark Hull Sherwood, Ratnam Sooriyakumaran, Linda Karin Sundberg, Satoshi Watanabe
  • Patent number: 8802347
    Abstract: Coating compositions include a polymer including: wherein R1 is a silicon containing moiety, R2 is an acid stable lactone functionality, and R3 is an acid labile lactone functionality; X1, X2, X3 are independently H or CH3; and m and o are non-zero positive integers and n is zero or a positive integer representing the number of repeat units; a photoacid generator; and a solvent. Also disclosed are methods for forming a pattern in the coating composition containing the same.
    Type: Grant
    Filed: November 6, 2009
    Date of Patent: August 12, 2014
    Assignee: International Business Machines Corporation
    Inventors: Robert D. Allen, Phillip J. Brock, Kuang-Jung Chen, Alexander Friz, Wu-Song Huang, Ratnam Sooriyakumaran, Sally A. Swanson, Hoa D. Truong
  • Patent number: 8795952
    Abstract: Disclosed is a method and apparatus for mitigation of photoresist line pattern collapse in a photolithography process by applying a gap-fill material treatment after the post-development line pattern rinse step. The gap-fill material dries into a solid layer filling the inter-line spaces of the line pattern, thereby preventing line pattern collapse due to capillary forces during the post-rinse line pattern drying step. Once dried, the gap-fill material is depolymerized, volatilized, and removed from the line pattern by heating, illumination with ultraviolet light, by application of a catalyst chemistry, or by plasma etching.
    Type: Grant
    Filed: February 18, 2011
    Date of Patent: August 5, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Mark H. Somervell, Benjamen M. Rathsack, Ian J. Brown, Steven Scheer, Joshua Hooge
  • Patent number: 8795946
    Abstract: Polymerizable ester compounds having formula (1) are novel wherein R1 is H, F, methyl or trifluoromethyl, R2 is an acid labile group, Aa is a divalent hydrocarbon group which may be separated by —O— or —C(?O)—, and k1 is 0 or 1. They are useful as monomers to produce polymers which are transparent to radiation ?500 nm. Radiation-sensitive resist compositions comprising the polymers as base resin exhibit excellent developed properties.
    Type: Grant
    Filed: July 13, 2012
    Date of Patent: August 5, 2014
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Koji Hasegawa, Masayoshi Sagehashi, Yuuki Suka, Masashi Ilo
  • Patent number: 8795943
    Abstract: The present invention relates to a negative photoresist composition and a patterning method for device in which a photoresist pattern having a high sensitivity with a good reverse taper profile can be formed not only to realize an effective patterning of various thin films but also to facilitate removal of the photoresist pattern after the patterning. The photoresist composition comprises an alkali-soluble binder resin; a halogen-containing first photo-acid generator; a triazine-based second photo-acid generator; a cross-linking agent having an alkoxy structure; and a solvent.
    Type: Grant
    Filed: May 4, 2011
    Date of Patent: August 5, 2014
    Assignee: LG Chem, Ltd.
    Inventors: Chan-Hyo Park, Kyung-Jun Kim, Yu-Na Kim
  • Patent number: 8795942
    Abstract: There is disclosed a resist composition that remarkably improves the resolution of photolithography using a high energy beam such as ArF excimer laser light as a light source, and exhibits excellent resistance to surface roughness and side lobe under use of a halftone phase shift mask; and a patterning process using the resist composition. The positive resist composition at least comprises (A) a resin component comprising a repeating unit represented by the following general formula (1); (B) a photoacid generator generating sulfonic acid represented by the following general formula (2) upon exposure to a high energy beam; and (C) an onium salt where a cation is sulfonium represented by the following general formula (3), or ammonium represented by the following general formula (4); and an anion is represented by any one of the following general formulae (5) to (7).
    Type: Grant
    Filed: December 11, 2007
    Date of Patent: August 5, 2014
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Tomohiro Kobayashi, Youichi Ohsawa, Ryosuke Taniguchi
  • Patent number: 8795949
    Abstract: To provide a resist pattern improving material, containing: a compound represented by the following general formula (1), or a compound represented by the following general formula (2), or both thereof; and water: where R1 and R2 are each independently a hydrogen atom, or a C1-C3 alkyl group; m is an integer of 1 to 3; and n is an integer of 3 to 30, where p is an integer of 8 to 20; q is an integer of 3 to 30; and r is an integer of 1 to 8.
    Type: Grant
    Filed: September 23, 2011
    Date of Patent: August 5, 2014
    Assignee: Fujitsu Limited
    Inventors: Miwa Kozawa, Koji Nozaki
  • Patent number: 8785114
    Abstract: A micro-structure is manufactured by patterning a sacrificial film, forming an inorganic material film on the pattern, providing the inorganic material film with an aperture, and etching away the sacrificial film pattern through the aperture to define a space having the contour of the pattern. The patterning stage includes the steps of (A) forming a sacrificial film using a composition comprising a cresol novolac resin and a crosslinker, (B) exposing patternwise the film to first high-energy radiation, (C) developing, and (D) exposing the sacrificial film pattern to second high-energy radiation and heat treating for thereby forming crosslinks within the cresol novolac resin.
    Type: Grant
    Filed: June 10, 2011
    Date of Patent: July 22, 2014
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Hideto Kato, Hiroshi Kanbara, Tomoyoshi Furihata, Yoshinori Hirano
  • Patent number: 8778594
    Abstract: A resist composition having a resin having a structural unit represented by the formula (I), a resin being insoluble or poorly soluble in alkali aqueous solution, but becoming soluble in an alkali aqueous solution by the action of an acid and not including the structural unit represented by the formula (I), an acid generator, and a compound represented by the formula (II), wherein R1, A1, A13, A14, X12, R23, R24, R25, R26, X21 and X22 are defined in the specification.
    Type: Grant
    Filed: July 18, 2012
    Date of Patent: July 15, 2014
    Assignee: Sumitomo Chemical Company, Limited
    Inventors: Koji Ichikawa, Hiromu Sakamoto, Yuichi Mukai
  • Patent number: 8778598
    Abstract: A method of forming fine patterns of a semiconductor device according to a double patterning process that uses acid diffusion is provided. In this method, a plurality of first mask patterns are formed on a substrate. A capping film including an acid source is formed on the exposed surface areas of the plurality of first mask patterns. A second mask layer is formed on the capping films. A plurality of acid diffused regions are formed within the second mask layer by diffusing acid obtained from the acid source from the capping films into the second mask layer. A plurality of second mask patterns are formed of residual parts of the second mask layer which remain after removing the acid diffused regions of the second mask layer.
    Type: Grant
    Filed: December 12, 2012
    Date of Patent: July 15, 2014
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Yool Kang, Suk-joo Lee, Jung-hyeon Lee, Shi-yong Yi
  • Patent number: 8771907
    Abstract: According to one embodiment, a positive photosensitive resin composition includes a resin containing a specified acrylic acid besed-structural unit which generates a carboxyl group when its dissociative group is dissociated, which resin is insoluble in alkali or sparingly soluble in alkali but when its acid-dissociative group is dissociated, becomes soluble in alkali, a resin containing a structural unit derived from a radical-polymerizable monomer containing an epoxy group, a compound containing two or more epoxy groups in its molecule, provided that the resin containing the structural unit derived from a radical-polymerizable monomer containing an epoxy group is not included in this compound, and a compound that when exposed to actinic rays of 300 nm or longer wavelength, generates an acid.
    Type: Grant
    Filed: September 27, 2010
    Date of Patent: July 8, 2014
    Assignee: FUJIFILM Corporation
    Inventor: Satoshi Takita
  • Patent number: 8765363
    Abstract: A method of forming a integrated circuit pattern. The method includes coating a photoresist layer on a substrate; performing a lithography exposure process to the photoresist layer; performing a multiple-step post-exposure-baking (PEB) process to the photoresist layer; and developing the photoresist layer to form a patterned photoresist layer.
    Type: Grant
    Filed: May 23, 2012
    Date of Patent: July 1, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chung-Ming Wang, Yu Lun Liu, Chia-Chu Liu, Kuei-Shun Chen
  • Patent number: 8765358
    Abstract: A water-soluble resin composition for forming fine patterns comprising water-soluble polymer represented by Chemical Formula 1 as below and the first water-soluble solvent, is coated and heated on a photoresist layer having at least one contact hole to reduce a size of the at least one contact hole. (In Chemical Formula 1, each of R1, R2, R3 and R5 independently represents an alkyl group of C1-30 or an cyclo alkyl group of C3-30 which respectively have one selected from the group consisting of hydrogen, an ether group, an ester group, a carbonyl group, an acetal, an epoxy group, a nitril group, an amine group, and an aldehyde group; each of R4, R6, R7 and R8 independently represents hydrogen or a methyl group; n represents an integer of 0 to 5; a represents a real number of 0.05 to 0.5; each of b, c and d respectively represents a real number of 0 to 0.7; and a+b+c+d=1).
    Type: Grant
    Filed: June 29, 2011
    Date of Patent: July 1, 2014
    Assignee: Korea Kumho Petrochemical Co., Ltd.
    Inventors: Sang Wook Park, So Jung Park, Dong-Chul Seo
  • Patent number: 8758986
    Abstract: A method produces an undercoat-covered smoothed printed wiring board, requiring no step of polishing the surface of the printed wiring board. A method for producing a solder-resist-covered printed wiring board causes no depression in an area between circuit traces. A printed wiring board so produced is also described. The method for producing a printed wiring board includes applying a photo- and heat-curable resin composition onto at least a part of a surface of a printed wiring substrate; placing an optically transparent smoothing member on the resin layer; moving a hard roller on the smoothing member to thin the applied resin layer to a thickness of interest; placing a negative-image mask on the smoothing member; exposing the applied resin layer to light via the negative-image mask; removing the optically transparent smoothing member; removing a light-unexposed portion of the applied resin layer through development; and completely heat-curing the cured light-exposed portion.
    Type: Grant
    Filed: September 2, 2010
    Date of Patent: June 24, 2014
    Assignee: San-Ei Kagaku Co., Ltd.
    Inventors: Takeshi Saito, Kazunori Kitamura, Yukihiro Koga
  • Patent number: 8758977
    Abstract: A negative-type photosensitive resin composition which is good in sensitivity and resolution, a pattern forming method by the use thereof wherein a pattern which can be developed in an alkali aqueous solution, is excellent in sensitivity, resolution and heat resistance and has a good shape is obtained, and highly reliable electronic parts are provided. The negative-type photosensitive rein composition includes (a) a polymer that has a phenolic hydroxyl group at a terminal and is soluble in the alkali aqueous solution, (b) a compound that generates an acid by irradiating active light, and (c) a compound that can be crosslinked or polymerized by an action of the acid.
    Type: Grant
    Filed: January 13, 2011
    Date of Patent: June 24, 2014
    Assignee: Hitachi Chemical DuPont MicroSystems, Ltd.
    Inventor: Tomonori Minegishi
  • Patent number: 8758979
    Abstract: Methanofullerene derivatives having side chains with acid-labile protecting groups. The methanofullerene derivatives may find application as photoresist materials, and particularly as positive-tone photoresists.
    Type: Grant
    Filed: November 18, 2010
    Date of Patent: June 24, 2014
    Assignee: The University of Birmingham
    Inventors: Alex Robinson, Richard Palmer, Jon Andrew Preece
  • Patent number: 8753796
    Abstract: The present invention provides a photoresist composition comprising a salt represented by the formula (I): wherein R1 and R2 independently each represent a fluorine atom or a C1-C6 perfluoroalkyl group, X1 represents a C1-C17 divalent saturated hydrocarbon group, etc., s1 represents 1 or 2, and t1 represents 0 or 1, with proviso that sum of s1 and t1 is 1 or 2, R3 represents a C1-C12 saturated hydrocarbon group, etc., u1 represents an integer of 0 to 8, and (Z1)+ represents an organic cation, a salt represented by the formula (II-0): wherein R4 represents a C1-C24 hydrocarbon group etc., X2 represents a C1-C6 alkanediyl group etc., and (Z2)+ represents an organic cation, and a resin being insoluble or poorly soluble in an aqueous alkali solution but becoming soluble in an aqueous alkali solution by the action of an acid.
    Type: Grant
    Filed: April 10, 2012
    Date of Patent: June 17, 2014
    Assignee: Sumitomo Chemical Company, Limited
    Inventors: Koji Ichikawa, Hiromu Sakamoto, Yuichi Mukai
  • Patent number: 8748077
    Abstract: To provide a resist pattern improving material, containing: water; and benzalkonium chloride represented by the following general formula (1): where n is an integer of 8 to 18.
    Type: Grant
    Filed: January 26, 2012
    Date of Patent: June 10, 2014
    Assignee: Fujitsu Limited
    Inventors: Koji Nozaki, Miwa Kozawa
  • Patent number: 8748068
    Abstract: A method of producing a patterned birefringent product, comprising at least steps [1] to [3] in this order: [1] producing a birefringent pattern building material comprising at least one optically anisotropic layer, which is formed by a process including: coating and drying a composition containing at least one rod-like liquid crystalline compound having at least two reactive groups and at least one chiral agent to form a cholesteric liquid crystal phase; and then subjecting the cholesteric liquid crystal phase to heating or exposure to radiation to form the optically anisotropic layer containing a polymer fixed by polymerization and fixing; [2] subjecting the birefringent pattern building material to a patterned exposure to light; and, [3] baking a laminate obtained after the step [2] at 50° C. or higher and 400° C. or lower.
    Type: Grant
    Filed: July 30, 2010
    Date of Patent: June 10, 2014
    Assignee: FUJIFILM Corporation
    Inventors: Hideki Kaneiwa, Ichiro Amimori
  • Patent number: 8748076
    Abstract: There is disclosed a resist composition comprising at least: (A) a polymer containing one or more repeating units having a structure shown by the following general formula (1) and/or (2), an alkaline-solubility of the polymer being increased by an acid, (B) a photo acid generator generating, with responding to a high energy beam, a sulfonic acid shown by the following general formula (3), and (C) an onium sulfonate shown by the following general formula (4). There can be a resist composition showing not only excellent LWR and pattern profile but also extremely good performance in pattern-fall resistance, and to provide a patterning process using the same.
    Type: Grant
    Filed: January 6, 2012
    Date of Patent: June 10, 2014
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Takayuki Nagasawa, Tomohiro Kobayashi, Ryosuke Taniguchi, Masaki Ohashi
  • Patent number: 8741551
    Abstract: The present disclosure provides a sensitive material. The sensitive material includes a polymer that turns soluble to a base solution in response to reaction with acid; a plurality of photo-base generators (PBGs) that decompose to form base in response to radiation energy; and a thermal sensitive component that generates acid in response to thermal energy.
    Type: Grant
    Filed: April 9, 2012
    Date of Patent: June 3, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chen-Hau Wu, Ching-Yu Chang
  • Patent number: 8741540
    Abstract: A hard mask composition, a method of forming a pattern, and a semiconductor integrated circuit device, the hard mask composition including a solvent; and an aromatic ring-containing compound, the aromatic ring-containing compound including at least one of a moiety represented by the following Chemical Formula 1 and a moiety represented by the following Chemical Formula 2:
    Type: Grant
    Filed: September 23, 2011
    Date of Patent: June 3, 2014
    Assignee: Cheil Industries, Inc.
    Inventors: Min-Soo Kim, Hwan-Sung Cheon, Jee-Yun Song, Young-Min Kim, Cheol-Ho Lee, Chung-Heon Lee
  • Patent number: 8741543
    Abstract: A resist composition having a resin having a structural unit represented by the formula (I), a resin being insoluble or poorly soluble in alkali aqueous solution, but becoming soluble in an alkali aqueous solution by the action of an acid and not including the structural unit represented by the formula (I), and an acid generator having an acid labile group, wherein R1, A1, A13, A14, X12 are defined in the specification.
    Type: Grant
    Filed: July 18, 2012
    Date of Patent: June 3, 2014
    Assignee: Sumitomo Chemical Company, Limited
    Inventors: Koji Ichikawa, Satoshi Yamaguchi, Yuki Suzuki
  • Patent number: 8741548
    Abstract: A pattern is formed by applying a first positive resist composition onto a substrate, heat treatment, exposure, heat treatment and development to form a first resist pattern; causing the first resist pattern to crosslink and cure by irradiation of high-energy radiation of up to 180 nm wavelength or EB; further applying a second positive resist composition onto the substrate, heat treatment, exposure, heat treatment and development to form a second resist pattern. The double patterning process reduces the pitch between patterns to one half.
    Type: Grant
    Filed: August 19, 2008
    Date of Patent: June 3, 2014
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Jun Hatakeyama, Takao Yoshihara, Katsuya Takemura, Yoshio Kawai
  • Patent number: 8741554
    Abstract: A pattern is formed by coating a first positive resist composition comprising a base resin, a photoacid generator, and a base generator onto a substrate to form a first resist film, patternwise exposure, PEB, and development to form a first resist pattern, heating the first resist pattern for causing the base generator to generate a base for inactivating the pattern to acid, coating a second positive resist composition comprising a C3-C8 alcohol and an optional C6-C12 ether onto the first resist pattern-bearing substrate to form a second resist film, patternwise exposure, PEB, and development to form a second resist pattern.
    Type: Grant
    Filed: May 26, 2010
    Date of Patent: June 3, 2014
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Jun Hatakeyama, Kazuhiro Katayama, Youichi Ohsawa, Masaki Ohashi
  • Patent number: 8741552
    Abstract: A method of lithography patterning includes forming a first resist pattern on a substrate, wherein the first resist pattern including a plurality of openings. A second resist pattern is formed on the substrate and within the plurality of openings of the first resist pattern, wherein the second resist pattern includes at least one opening therein on the substrate. The first resist pattern is removed to uncover the substrate underlying the first resist pattern.
    Type: Grant
    Filed: February 9, 2010
    Date of Patent: June 3, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chun-Kuang Chen, Hsiao-Wei Yeh, Chih-An Lin, Chien-Wei Wang, Feng-Cheng Hsu
  • Patent number: 8735052
    Abstract: A surface modifying material for forming a surface modifying layer provided between a substrate and a resist film, the surface modifying material including an epoxy resin having a weight average molecular weight of 1,000 to 50,000; a method of forming a resist pattern, including: forming a surface modifying layer on a substrate using the surface modifying material, forming a resist film on the substrate, on which the surface modified layer has been formed, using a resist composition, conducting exposure of the resist film, and alkali developing the resist film to form a resist pattern; and a method of forming a pattern, including: etching the substrate, on which a resist pattern has been formed by the method of forming a resist pattern.
    Type: Grant
    Filed: March 14, 2011
    Date of Patent: May 27, 2014
    Assignee: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Isao Hirano, Junichi Tsuchiya
  • Patent number: 8735049
    Abstract: A method of making a relief printing element in a liquid photopolymer platemaking process is described. The method comprises the steps of: (a) selectively exposing the liquid photopolymer to actinic radiation through a negative to crosslink and cure portions of the liquid photopolymer; and (b) reclaiming uncured portions of the liquid photopolymer to be reused in the platemaking process. The step of reclaiming uncured portions of the liquid photopolymer comprises (i) heating the printing element to decrease the viscosity of the uncured liquid photopolymer; and (ii) removing uncured liquid photopolymer from the surface of the relief image printing element so that recovery of uncured liquid photopolymer from the surface of the relief image printing element is enhanced.
    Type: Grant
    Filed: May 22, 2012
    Date of Patent: May 27, 2014
    Inventor: Ryan W. Vest
  • Patent number: 8735046
    Abstract: A polymer obtained from copolymerization of a recurring unit having a carboxyl group and/or phenolic hydroxyl group substituted with an acid labile group with a methacrylate having a phenolic hydroxyl-bearing pyridine is useful as a base resin in a positive resist composition. The resist composition comprising the polymer is improved in contrast of alkali dissolution rate before and after exposure, acid diffusion control, resolution, and profile and edge roughness of a pattern after exposure.
    Type: Grant
    Filed: November 21, 2011
    Date of Patent: May 27, 2014
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Jun Hatakeyama, Koji Hasegawa
  • Patent number: 8735047
    Abstract: A resist composition having a resin having a structural unit represented by the formula (I), a resin being insoluble or poorly soluble in alkali aqueous solution, but becoming soluble in an alkali aqueous solution by the action of an acid and not including the structural unit represented by the formula (I), and an acid generator represented by the formula (II), wherein R1, A1, A13, A14, X12, Q1, Q2, L1, ring W1 and Z+ are defined in the specification.
    Type: Grant
    Filed: July 18, 2012
    Date of Patent: May 27, 2014
    Assignee: Sumitomo Chemical Company, Limited
    Inventors: Koji Ichikawa, Satoshi Yamaguchi
  • Patent number: 8728705
    Abstract: A negative photosensitive material is provided which has a lower linear expansion coefficient and a lower hygroscopic expansion coefficient and is excellent in gradational patternability and PI etchability in patterning.
    Type: Grant
    Filed: May 27, 2010
    Date of Patent: May 20, 2014
    Assignee: Nitto Denko Corporation
    Inventors: Katsutoshi Hirashima, Hirofumi Fujii, Yasushi Tamura, Ryouji Suezaki
  • Patent number: 8728716
    Abstract: There is provided that a method for producing a resin pattern, and the method includes at least the steps (1) to (7) in this order; (1) a coating step of coating a photosensitive resin composition on a substrate; (2) a solvent removal step of removing the solvent from the applied photosensitive resin composition; (3) an exposure step of patternwise exposing the photosensitive resin composition from which the solvent has been removed, to an active radiation; (4) a development step of developing the exposed photosensitive resin composition using an aqueous developer liquid; (5) an overcoating step of providing an overcoat layer on the developed photosensitive resin composition; (6) a heat-treating step of heat-treating the photosensitive resin composition on which the overcoat layer has been provided; and (7) a removal step of removing the overcoat layer.
    Type: Grant
    Filed: March 9, 2012
    Date of Patent: May 20, 2014
    Assignee: FUJIFILM Corporation
    Inventors: Takeshi Andou, Junichi Fujimori, Hiroyuki Yonezawa, Yasumasa Kawabe, Hideyuki Nakamura
  • Patent number: 8728710
    Abstract: Disclosed is a method of making polysiloxane and polysilsesquioxane based hardmask respond to radiations with positive tone and negative tone simultaneously. Unradiated films are insoluble in developers, showing positivity tone. Radiated films are insoluble in developers as well, showing negative tone. Only half-way radiated films are soluble in developers. The dual-tone photo-imageable hardmask produces splitted patterns. Compositions of dual-tone photo-imageable hardmask based on the chemistry of polysiloxane and polysilsesquioxanes are disclosed as well. Further disclosed are processes of using photo-imageable hardmasks to create precursor structures on semiconductor substrates with or without an intermediate layer.
    Type: Grant
    Filed: March 30, 2010
    Date of Patent: May 20, 2014
    Inventor: Sam Xunyun Sun
  • Patent number: 8728715
    Abstract: A method of forming a patterned photoresist layer having a hydrophobic surface is provided. The method includes forming a photoresist layer on a substrate and image patterning. The photoresist layer may comprise a polymeric material. The imaged photoresist layer may then undergo a two-stage post-exposure bake. A surface treatment may be performed on the photoresist layer in between the two-stage post-exposure bake. The surface treatment may include applying a siloxane solution on a partially post-exposure baked photoresist layer. The post-exposure baked photoresist layer may then be developed to form the patterned photoresist layer. The method may be used to form a hydrophobic photoimageable nozzle plate of a micro-fluid ejection head having improved mechanical properties and stable hydrophobic properties.
    Type: Grant
    Filed: January 13, 2012
    Date of Patent: May 20, 2014
    Assignee: Funai Electric Co., Ltd.
    Inventors: David Bernard, Paul Dryer, Bart Mansdorf, Xiaoming Wu
  • Patent number: 8728707
    Abstract: A resist composition having a resin having a structural unit represented by the formula (I), a resin being insoluble or poorly soluble in alkali aqueous solution, but becoming soluble in an alkali aqueous solution by the action of an acid and not including the structural unit represented by the formula (I), an acid generator, and a compound represented by the formula (II), wherein R1, A1, A13, A14, X12, R23 and ring W21 are defined in the specification.
    Type: Grant
    Filed: July 18, 2012
    Date of Patent: May 20, 2014
    Assignee: Sumitomo Chemical Company, Limited
    Inventors: Koji Ichikawa, Satoshi Yamaguchi
  • Patent number: 8728708
    Abstract: Disclosed is a photosensitive resin composition comprising: (Component A) an oxime sulfonate compound represented by Formula (1); (Component B) a resin comprising a constituent unit having an acid-decomposable group that is decomposed by an acid to form a carboxyl group or a phenolic hydroxy group; and (Component C) a solvent wherein in Formula (1) R1 denotes an alkyl group, an aryl group, or a heteroaryl group, each R2 independently denotes a hydrogen atom, an alkyl group, an aryl group, or a halogen atom, Ar1 denotes an o-arylene group or an o-heteroarylene group, X denotes O or S, and n denotes 1 or 2, provided that of two or more R2s present in the compound, at least one denotes an alkyl group, an aryl group, or a halogen atom.
    Type: Grant
    Filed: February 27, 2013
    Date of Patent: May 20, 2014
    Assignee: FUJIFILM Corporation
    Inventors: Kyouhei Sakita, Wataru Kikuchi, Masatoshi Yumoto, Masanori Hikita
  • Patent number: 8721905
    Abstract: A method for forming a minute pattern mask includes forming an etching target layer on a substrate. A convex pattern including a plurality of convex parts is formed on the etching target layer. A resin composition is coated on the convex pattern to form a resin layer including a first region neighboring the convex part and a second region positioned between the neighboring convex parts. The resin layer is ashed or etched to form the plurality of first resin patterns. The plurality of first resin patterns is processed to form a minute pattern mask including a plurality of second resin patterns. The etching target layer is etched using the plurality of second resin patterns as an etch mask to form a minute pattern.
    Type: Grant
    Filed: March 27, 2012
    Date of Patent: May 13, 2014
    Assignees: Samsung Display Co., Ltd., SNU R & DB Fountdation
    Inventors: Se-Hwan Yu, Ji Seon Lee, Yoon Ho Khang, Kahp Yang Suh, Hyoung Sick Um, Jae Jun Chae, Sung Hun Lee
  • Patent number: 8722311
    Abstract: There is provided a resist composition suitable for forming a microlens which is excellent in transparency, heat resistance, and sensitivity characteristics, excellent in solubility in a developer, and as the result thereof has high resolution. A positive resist composition comprising; a component (A): an alkali-soluble polymer; a component (B): a compound having an organic group to be photolyzed to generate an alkali-soluble group; a component (C): a crosslinkable compound of Formula (1): [where R1, R2, and, R3 are independently a C1-6 alkylene group or oxyalkylene group which are optionally branched; and E1, E2, and E3 are independently a group containing a structure of Formula (2) or Formula (3): (where R4 is a hydrogen atom or a methyl group)]; and a component (D): a solvent.
    Type: Grant
    Filed: January 19, 2011
    Date of Patent: May 13, 2014
    Assignee: Nissan Chemical Industries, Ltd.
    Inventors: Shojiro Yukawa, Shinya Arase, Toshiaki Takeyama, Yuki Endo, Takeo Moro
  • Patent number: 8722322
    Abstract: A method of improving conductivity of a metal pattern (18) includes providing a developed silver pattern (14) formed from a photographic silver salt provided in a binder coated on a substrate (12); and selectively heating the silver pattern with electromagnetic radiation.
    Type: Grant
    Filed: January 31, 2012
    Date of Patent: May 13, 2014
    Assignee: Eastman Kodak Company
    Inventors: Donald R. Preuss, Mitchell S. Burberry
  • Patent number: 8715913
    Abstract: The present invention is a silicon-containing resist underlayer film-forming composition containing at least any one of a condensation product and a hydrolysis condensation product or both of a mixture comprising: one or more kinds of a compound (A) selected from the group consisting of an organic boron compound shown by the following general formula (1) and a condensation product thereof and one or more kinds of a silicon compound (B) shown by the following general formula (2). Thereby, there can be provided a resist underlayer film applicable not only to the resist pattern formed of a hydrophilic organic compound obtained by the negative development but also to the resist pattern formed of a hydrophobic compound obtained by the conventional positive development.
    Type: Grant
    Filed: November 5, 2012
    Date of Patent: May 6, 2014
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Tsutomu Ogihara, Takafumi Ueda, Fujio Yagihashi
  • Patent number: 8715904
    Abstract: A low surface energy photoresist composition is described that comprises a silicone-polyether block copolymer, wherein the silicone block comprises 35 wt. % or more of said copolymer. When compounded with a photoresist composition, the composition enables the release of a phototool from the photoresist layer.
    Type: Grant
    Filed: April 27, 2012
    Date of Patent: May 6, 2014
    Assignee: 3M Innovative Properties Company
    Inventors: Zai-Ming Qiu, Douglas C. Fall
  • Patent number: 8715905
    Abstract: Provided is a silphenylene-containing photocurable composition including: (A) a specific silphenylene having both terminals modified with alicyclic epoxy groups, and (C) a photoacid generator that generates acid upon irradiation with light having a wavelength of 240 to 500 nm. Also provided is a pattern formation method including: (i) forming a film of the photocurable composition on a substrate, (ii) exposing the film through a photomask with light having a wavelength of 240 to 500 nm, and if necessary, performing heating following the exposure, and (iii) developing the film in a developing liquid, and if necessary, performing post-curing at a temperature within a range from 120 to 300° C. following the developing. Further provided is an optical semiconductor element obtained by performing pattern formation using the method.
    Type: Grant
    Filed: September 6, 2013
    Date of Patent: May 6, 2014
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Shohei Tagami, Takato Sakurai, Hideto Kato
  • Patent number: 8715919
    Abstract: Lithography methods on a semiconductor substrate are described. The methods include coating a resist layer on the substrate, wherein the resist layer comprises a resist polymer configured to turn soluble to a base solution in response to reaction with an acid, and a switchable polymer that includes a base soluble polymer having a carboxylic acid, hydroxyl, lactone, or anhydride functional group, performing a pre-exposure bake on the resist layer, exposing the resist-coated substrate, and developing the exposed substrate with a developing solution.
    Type: Grant
    Filed: August 20, 2013
    Date of Patent: May 6, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Ching-Yu Chang, Chih-Cheng Chiu
  • Patent number: 8709699
    Abstract: A resist composition having a resin having a structural unit represented by the formula (I), a resin being insoluble or poorly soluble in alkali aqueous solution, but becoming soluble in an alkali aqueous solution by the action of an acid and not including the structural unit represented by the formula (I), and an acid generator represented by the formula (II), wherein R1, A1, A13, A14, X12, Q1, Q2, L1, ring W, Rf1 and Rf2, n and Z+ are defined in the specification.
    Type: Grant
    Filed: July 18, 2012
    Date of Patent: April 29, 2014
    Assignee: Sumitomo Chemical Company, Limited
    Inventors: Koji Ichikawa, Takashi Hiraoka, Mitsuyoshi Ochiai
  • Patent number: 8709908
    Abstract: A system and method of manufacturing a semiconductor device lithographically and an article of manufacture involving a lithographic double patterning process having a dye added to either the first or second lithographic pattern are provided. The dye is used to detect the location of the first lithographic pattern and to directly align the second lithographic pattern to it. The dye may be fluorescent, luminescent, absorbent, or reflective at a specified wavelength or a given wavelength band. The wavelength may correspond to the wavelength of an alignment beam. The dye allows for detection of the first lithographic pattern even when it is over coated with a radiation sensitive-layer (e.g., resist).
    Type: Grant
    Filed: March 16, 2010
    Date of Patent: April 29, 2014
    Assignees: ASML Holding N.V., ASML Netherlands B.V.
    Inventors: Harry Sewell, Mircea Dusa, Richard Johannes Franciscus Van Haren, Manfred Gawein Tenner, Maya Angelova Doytcheva
  • Patent number: 8709705
    Abstract: The present invention relates to a process for forming metal-containing films by applying a photosensitive metal-containing composition on a substrate, drying the photosensitive metal-containing composition, exposing the photosensitive metal-containing composition to a source of actinic radiation and applying a post-treatment to the metal-containing composition. The process also includes exposing the photosensitive metal-containing composition to a source of actinic radiation through a mask or mold and developing the unexposed portion of the composition. Another embodiment of the invention is a metal-containing film, three-dimensional object or article formed by the process. The invention is useful in producing a directly patterned metal-containing film and a microdevice.
    Type: Grant
    Filed: July 6, 2012
    Date of Patent: April 29, 2014
    Assignee: Pryog, LLC
    Inventors: Mangala Malik, Joseph J Schwab
  • Patent number: 8703395
    Abstract: A pattern-forming method includes applying a photoresist composition to a substrate to form a resist film. The photoresist composition includes an acid generator and a first polymer that includes an acid-dissociable group. The resist film is exposed. The resist film is developed using a developer having an organic solvent content of 80 mass % or more to form a prepattern of the resist film. A polymer film having a phase separation structure in a space defined by the prepattern is formed using a composition that includes a plurality of second polymers. A part of the phase separation structure of the polymer film is removed.
    Type: Grant
    Filed: October 28, 2011
    Date of Patent: April 22, 2014
    Assignee: JSR Corporation
    Inventors: Hayato Namai, Hiroki Nakagawa, Kentaro Harada, Takehiko Naruoka
  • Patent number: 8703398
    Abstract: The manufacturing method includes forming, on a surface of a negative photosensitive resin layer formed on a substrate, a hollow having inclinations at both sides in a cross section taken along a plane perpendicular to a row direction of the ejection orifice, and then forming a latent image in the hollow, thereby forming the ejection orifice so that an angle between a side surface portion thereof and a normal to the outer opening in a cross section of the ejection orifice taken along a plane which passes through a center of the ejection orifice and is perpendicular to the row direction is larger than an angle between the side surface portion and the normal to the outer opening in a cross section of the ejection orifice taken along a plane which includes a center line of the ejection orifice in the row direction and is perpendicular to a substrate surface.
    Type: Grant
    Filed: March 12, 2013
    Date of Patent: April 22, 2014
    Assignee: Canon Kabushiki Kaisha
    Inventors: Ken Ikegame, Isamu Horiuchi, Hyo Takahashi, Takuma Kodoi, Yasunori Takei, Kenji Yabe
  • Patent number: 8697342
    Abstract: Disclose herein is a method of modifying a positive-type chemically amplified resist pattern, including the steps of, applying to a surface of a resist pattern, an aqueous solution of a modifier for the positive-type chemically amplified resist pattern, the aqueous solution containing a water-soluble cross-linking agent and a penetration accelerator, the cross-linking agent and the penetration accelerator being dissolved in water or a mixed solvent containing water as a main ingredient, so as to permit the cross-linking agent to penetrate the resist pattern, removing a surplus of the cross-linking agent, and irradiating the resist pattern.
    Type: Grant
    Filed: March 8, 2011
    Date of Patent: April 15, 2014
    Assignee: Sony Corporation
    Inventors: Ichiro Takemura, Isao Mita, Eriko Matsui, Nobuyuki Matsuzawa