Finishing Or Perfecting Composition Or Product Patents (Class 430/331)
  • Patent number: 6511792
    Abstract: By using a developer consisting essentially of one organic solvent selected from the group consisting of a ketone having 3 to 8 carbon atoms, a carboxylate ester having 3 to 8 carbon atoms, which may have an alkoxy group, and a dicarboxylate ester having 3 to 8 carbon atoms for developing a positive-type radiation resist containing a copolymer of an &agr;-methyl styrene compound and an &agr;-chloroacrylate ester compound as a base resin, there is provided a developing process and a process for forming a pattern (according to GHOST method in particular) which are used for preparing an excellent resist pattern profile, a process for preparing a photomask and a process for preparing a semiconductor device.
    Type: Grant
    Filed: February 27, 2001
    Date of Patent: January 28, 2003
    Assignee: Mitsubishi Denki Kabushiki Kaisha
    Inventors: Atsuko Fujino, Teruhiko Kumada, Atsushi Oshida, Koji Tange, Hitoshi Fukuma
  • Patent number: 6509138
    Abstract: Processes for patterning radiation sensitive layers are disclosed. In one embodiment, the process includes depositing a radiation sensitive material on a substrate by chemical vapor deposition. The radiation sensitive material is exposed to radiation to form a pattern and the pattern is developed using a supercritical fluid (SCF).
    Type: Grant
    Filed: January 12, 2000
    Date of Patent: January 21, 2003
    Assignees: Semiconductor Research Corporation, Cornell Research Foundation, Inc., Massachusetts Institute of Technology
    Inventors: Karen K. Gleason, Christopher Ober, Daniel Herr
  • Patent number: 6509136
    Abstract: A process of drying a cast film polymeric disposed upon a workpiece. In this process a cast polymeric film, which includes a volatile organic compound therein, disposed on a workpiece, is contacted with an extraction agent which may be liquid carbon dioxide or supercritical carbon dioxide.
    Type: Grant
    Filed: June 27, 2001
    Date of Patent: January 21, 2003
    Assignee: International Business Machines Corporation
    Inventors: Dario L. Goldfarb, Kenneth John McCullough, David R. Medeiros, Wayne M. Moreau, John P. Simons, Charles J. Taft
  • Patent number: 6506542
    Abstract: An aqueous developer for photopolymerizable flexographic printing plates containing a combination of an amino alcohol and/or an amino carboxylic acid and a surfactant or a combination of two surfactants and a process for preparing flexographic printing forms by the use of this developer are described.
    Type: Grant
    Filed: September 15, 2000
    Date of Patent: January 14, 2003
    Assignee: E.I. du Pont de Nemours and Company
    Inventors: Ursula Annerose Kraska, Rudolf Leonard Osowski
  • Patent number: 6503694
    Abstract: A developer solution and edge bead remover. composition includes: (A) water; (B) at least a basic compound; and (C) a non-ionic surfactant having the structure (I):  wherein: R1, R2, R3, R4 and R5 are selected from the group consisting of hydrogen, alkyl, aryl, aralkyl and halogen, and at least two of R1, R2, R3, R4 and RS are selected from the group consisting of aralkyl and aryl, R6 is selected from the group consisting of ethylene, propylene, and butylene, R7 is selected from the group consisting of hydrogen, acetyl, alkyl, and aryl, and n is an integer ranging from 6 to 23.
    Type: Grant
    Filed: June 12, 2001
    Date of Patent: January 7, 2003
    Assignees: Chi Mei Corporation, Chi Mei Optoelectronics Corporation
    Inventors: Chun-Hsien Li, Pei-Hua Sheng, Bo-Hsuan Lin, Yuan-Pin Lee, Chih-Neng Chang
  • Patent number: 6500601
    Abstract: A method of manufacturing photopolymer marking structures is disclosed. The improved method results in a substantially tack-free marking structure.
    Type: Grant
    Filed: November 15, 1999
    Date of Patent: December 31, 2002
    Assignee: M&R Marking Systems, Inc.
    Inventors: Steven J. Sculler, Colin J. Brunton, Alexander R. Veress
  • Patent number: 6500605
    Abstract: A method of removing photoresist and residue from a substrate begins by maintaining supercritical carbon dioxide, an amine, and a solvent in contact with the substrate so that the amine and the solvent at least partially dissolve the photoresist and the residue. Preferably, the amine is a tertiary amine. Preferably, the solvent is selected from the group consisting of DMSO, EC, NMP, acetyl acetone, BLO, acetic acid, DMAC, PC, and a mixture thereof. Next, the photoresist and the residue are removed from the vicinity of the substrate. Preferably, the method continues with a rinsing step in which the substrate is rinsed in the supercritical carbon dioxide and a rinse agent. Preferably, the rinse agent is selected from the group consisting of water, alcohol, a mixture thereof, and acetone. In an alternative embodiment, the amine and the solvent are replaced with an aqueous fluoride.
    Type: Grant
    Filed: October 25, 2000
    Date of Patent: December 31, 2002
    Assignee: Tokyo Electron Limited
    Inventors: William H. Mullee, Maximilian A. Biberger, Paul E. Schilling
  • Publication number: 20020187439
    Abstract: Disclosed is a method for producing an acid sensitive liquid composition. The method involves passing an acid sensitive liquid composition containing a carbonate represented by the formula ROC(=O)OR1 wherein R and R1 independently are a hydrocarbyl group of 1 to about 10 carbon atoms, through at least one of the following two filter sheets: (a) a filter sheet containing a self-supporting fibrous matrix having immobilized therein a particulate filter aid and a particulate ion exchange resin having an average particle size of from about 2 to about 10 microns, wherein the particulate filter aid and ion exchange resin particles are distributed substantially uniformly throughout a cross-section of said matrix; and/or (b) a filter sheet containing a self-supporting matrix of fibers having immobilized therein particulate filter aid and binder resin, and having an average pore size of about 0.05 to 0.5 &mgr;m.
    Type: Application
    Filed: April 11, 2001
    Publication date: December 12, 2002
    Inventor: Joseph E. Oberlander
  • Publication number: 20020187437
    Abstract: A development method in a micro-lithographic process uses a surfactant to overcome the hydrophobic nature on the surface of a photo-resist layer. A developer mixture formed by mixing a developer with a surfactant is used for developing the photo-resist layer. Instead of mixing with the developer, the surfactant may be used to cover the surface of the photo-resist layer before developing. Alternatively, the surfactant can also be applied to the photo-resist layer after it has been developed into a photo-resist pattern.
    Type: Application
    Filed: June 20, 2002
    Publication date: December 12, 2002
    Inventor: Ching-Yu Chang
  • Publication number: 20020187427
    Abstract: Additive compositions suitable for rinse water used for processing lithographic printing plates, particularly to additive compositions for rinse water in water recycling systems, are disclosed. The additive compositions contain at least one water-soluble film-forming polymer; optionally, at least one pH regulating agent capable of maintaining the pH value of an aqueous solution at about 7 or below; and at least one compound selected from the group consisting of phosphonic acid derivatives of formula I.
    Type: Application
    Filed: May 18, 2001
    Publication date: December 12, 2002
    Inventor: Ulrich Fiebag
  • Publication number: 20020187438
    Abstract: A development method in a micro-lithographic process uses a surfactant to overcome the hydrophobic nature on the surface of a photo-resist layer. A developer mixture formed by mixing a developer with a surfactant is used for developing the photo-resist layer. Instead of mixing with the developer, the surfactant may be used to cover the surface of the photo-resist layer before developing. Alternatively, the surfactant can also be applied to the photo-resist layer after it has been developed into a photo-resist pattern.
    Type: Application
    Filed: June 20, 2002
    Publication date: December 12, 2002
    Inventor: Ching-Yu Chang
  • Publication number: 20020182540
    Abstract: A composition of a photosensitive silver conductor tape comprising: (1) an admixture of finely divided inorganic solids comprising (a) finely divided particles of silver solids; (b) finely divided particles of inorganic binder having a glass transition temperature (Tg) of 325-700° C., the admixture of inorganic solids being dispersed in (2) an organic medium comprising a solution of (c) a organic polymeric binder which is a copolymer, interpolymer or mixture thereof selected from (1) nonacidic comonomers comprising butylmethacrylate or mixtures of butylmethacrylate and C1-10 alkyl acrylates, C1-10 alkyl methacrylates, styrene, substituted styrenes, or combinations thereof and (2) acidic comonomers comprising ethylenically unsaturated carboxylic acids containing moiety that are at least 15 wt. % of the total polymer weight; and having a Tg of 50-150° C.
    Type: Application
    Filed: May 24, 2002
    Publication date: December 5, 2002
    Inventor: Lorri P. Drozdyk
  • Patent number: 6485893
    Abstract: A surface-active agent is applied onto a surface to be processed of a wafer, onto which a chemical solution of an antireflection film is applied, thereby forming an antireflection film with the thickness of, for example, about 100 nm. Subsequently, the surface-active agent is applied onto a surface of the antireflection film, onto which a resist solution is applied, thereby forming a resist film with the thickness of, for example, about 500 nm. By applying a coating solution such as the chemical solution of the antireflection film, the resist solution and the like onto the surface-active agent as described above, the surface tension of the coating solution is decreased by the action of the surface-active agent, and the coating solution spreads approximately parallel to the surface of the wafer along the top surface of the wafer. Thus, the required coating amount of the coating solution is reduced, and the amount of the chemical solution can be saved.
    Type: Grant
    Filed: August 17, 2000
    Date of Patent: November 26, 2002
    Assignee: Tokyo Electron Limited
    Inventor: Yuji Matsuyama
  • Patent number: 6482578
    Abstract: An aqueous developer for imageable elements that contain a radiation-sensitive initiator system and at least one free radical polymerizable material is disclosed. The developer develops these plates quickly, with high throughput, but with no sludge formation in the developing process. The developer contains water, a buffer, and one or more filter dyes and/or one of more free radical inhibitors. The imageable elements are useful as printing plates.
    Type: Grant
    Filed: February 27, 2002
    Date of Patent: November 19, 2002
    Assignee: Kodak Polychrome Graphics, LLC
    Inventors: Ulrich Fiebag, Harald Baumann, Hans-Joachim Timpe
  • Patent number: 6479820
    Abstract: In one embodiment, the present invention relates to a method of processing a photoresist on a semiconductor structure, involving the steps of exposing and developing the photoresist; evaluating the exposed and developed photoresist to determine if negative charges exist thereon; contacting the exposed and developed photoresist with a positive ion carrier thereby reducing any negative charges thereon; and evaluating the exposed and developed photoresist with an electron beam.
    Type: Grant
    Filed: April 25, 2000
    Date of Patent: November 12, 2002
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Bhanwar Singh, Ramkumar Subramanian, Bharath Rangarajan, Khoi A. Phan, Bryan K. Choo
  • Patent number: 6475708
    Abstract: The present invention is directed toward effective photoresist stripping compositions that are less corrosive and do not cause skin irritation. One form of the present invention is a composition useful as a photoresist remover that includes an alkylene carbonate, and one or more additional components chosen from the group that includes alkyl hydrogen peroxides, hydroxyalkyl ureas, urea-hydrogen peroxides, N-substituted morpholines and alcohols. Another form of the present invention is a composition for removing photoresist from a surface that includes an N-substituted morpholine.
    Type: Grant
    Filed: September 5, 2001
    Date of Patent: November 5, 2002
    Assignee: Huntsman Petrochemical Corporation
    Inventors: James R. Machac, Jr., Edward T. Marquis, Wheeler C. Crawford
  • Patent number: 6472130
    Abstract: A solution of tetramethylammonium hydroxide in water comprises a surfactant component and a hydrotropic component. A process for preparing a solution for developing an exposed photoresist comprises the steps: preparation of a solution of tetramethylammonium hydroxide in water; addition of a surfactant component; and addition of a hydrotropic component.
    Type: Grant
    Filed: October 16, 2000
    Date of Patent: October 29, 2002
    Assignee: Infineon Technologies AG
    Inventors: Stefan Geyer, Michael Horn
  • Publication number: 20020155393
    Abstract: The present invention is directed toward effective photoresist stripping compositions that are less corrosive and do not cause skin irritation. One form of the present invention is a composition useful as a photoresist remover that includes an alkylene carbonate, and one or more additional components chosen from the group that includes alkyl hydrogen peroxides, hydroxyalkyl ureas, urea-hydrogen peroxides, N-substituted morpholines and alcohols. Another form of the present invention is a composition for removing photoresist from a surface that includes an N-substituted morpholine.
    Type: Application
    Filed: June 6, 2002
    Publication date: October 24, 2002
    Applicant: Huntsman Petrochemical Corporation
    Inventors: James R. Machac, Edward T. Marquis, Wheeler C. Crawford
  • Publication number: 20020146647
    Abstract: A photo-resist mask is ashed after the pattern transfer, and is, thereafter, treated with liquid photo-resist remover, wherein photo-resist remover comprises salt produced through interaction between hydrofluoric acid and a base without metal ion, water, water soluble organic solvent and a derivative of benztriazole expressed by the general formula: 1
    Type: Application
    Filed: March 11, 2002
    Publication date: October 10, 2002
    Applicant: NEC CORPORATION, TOKYO OHKA KOGYO CO., LTD.
    Inventors: Hidemitsu Aoki, Kenichi Nakabeppu, Masahito Tanabe, Kazumasa Wakiya, Masakazu Kobayashi
  • Patent number: 6458517
    Abstract: A photoresist stripping composition comprises (1) a nitrogen-containing organohydroxyl compound, (2) an alkylene glycol monoalkyl ether represented by the general formula: HO—(CpH2pO)q—R, wherein R is C1-C4 alkyl, p is 2 or 3, and q is 1, 2 or 3, (3) sugar or sugar alcohol, (4) a phosphorus-containing compound and (5) water. The photoresist stripping composition easily removes photoresist films on the inorganic substrate, and patterned photoresist films and photoresist residues remaining after etching and photoresist residues in a short period of time without corroding semiconductive materials, circuit-forming materials, insulating materials, etc.
    Type: Grant
    Filed: March 28, 2000
    Date of Patent: October 1, 2002
    Assignees: Sharp Kabushiki Kaisha, Mitsubishi Gas Chemical Company Inc.
    Inventors: Masahiro Nohara, Yukihiko Takeuchi, Hisaki Abe, Taketo Maruyama, Tetsuo Aoyama
  • Patent number: 6458518
    Abstract: A photoresist stripper composition is formed of a mixture of acetone, &ggr;-butyrolactone, and ester solvent. A photoresist stripping method includes spraying the photoresist stripper composition over a substrate while rotating the substrate at a relatively low speed, so as to strip photoresist from the substrate. The rotation of the substrate is stopped for a short period of time, and thereafter the photoresist stripper composition is again sprayed over the substrate while rotating the substrate at a relatively high speed. Then, the substrate is rinsed with pure water.
    Type: Grant
    Filed: January 15, 2002
    Date of Patent: October 1, 2002
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sang-sik Moon, Mi-sook Jeon, Pil-kwon Jun, June-ing Kil, Je-eung Park, Sang-mun Chun
  • Publication number: 20020137219
    Abstract: Disclosed is a titration method for determining the concentration of a base developer solution to within ±0.02 mN, which involves performing steps (a) and (b) in any order: (a) weighing to ±0.001%, an amount of a solution of aqueous base developer of known approximate normality; (b) weighing to ±0.001%, an amount of an acid titrant sufficient to neutralize at least 90% of the base developer in the solution of step (a); thereafter performing steps (c)-(e) in the following order: (c) contacting the aqueous base developer solution with the acid titrant to neutralize at least 90% of the base developer in the solution, and leaving from about 1% to about 10% of the original aqueous base developer as residual non-neutralized base developer in the solution; (d) titrating the residual non-neutralized base developer in the solution with the acid titrant to the end point in an inert atmosphere, wherein the temperature of the titrant is maintained at a temperature of about 20-30° C.±0.
    Type: Application
    Filed: January 19, 2001
    Publication date: September 26, 2002
    Inventors: Robert Austin Owens, Thomas Jacob, Pilarcita Linda Ranque, Lizhong Zhang
  • Patent number: 6455234
    Abstract: This invention provides water-based compositions, particularly coating, ink, fountain solution and agricultural compositions, manifesting reduced equilibrium and dynamic surface tension by the incorporation of a surface tension reducing amount of an acetylenic diol ethylene oxide/propylene oxide adduct of the structure where r and t are 1 or 2, (n+m) is 1 to 30 and (p+q) is 1 to 30. Use of such adducts as surfactants in photoresist developer/electronics cleaning compositions is particularly advantageous. Also disclosed is a method for making random and block EO/PO adducts of acetylenic diols by reacting an acetylenic diol with EO and/or PO in the presence of a trialkylamine or Lewis acid.
    Type: Grant
    Filed: December 18, 2001
    Date of Patent: September 24, 2002
    Assignee: Air Products and Chemicals, Inc.
    Inventors: Kevin Rodney Lassila, Paula Ann Uhrin, Joel Schwartz
  • Publication number: 20020132193
    Abstract: Developing solution for a photoresist comprising an alicyclic amine compound and a non-metallic alkali compound is described. The developing solution exhibits excellent wettability and dissolution selectivity to alicyclic compound-based resists. Also, the developing solution does not produce dissolution residues, and it makes it possible to reliably form ultra fine patterns.
    Type: Application
    Filed: January 3, 2002
    Publication date: September 19, 2002
    Inventors: Keiichi Iwata, Kazushi Gouroku, Kenichi Nakamura
  • Publication number: 20020132180
    Abstract: Disclosed are photoimageable compositions having improved stripping properties including a photoresist strip enhancer. Also disclosed are methods of enhancing the strippability of photoimageable compositions and methods for manufacturing printed wiring boards using such photoimageable compositions.
    Type: Application
    Filed: November 28, 2001
    Publication date: September 19, 2002
    Applicant: Shipley Company, L.L.C.
    Inventors: Thomas A. Koes, Todd Johnson
  • Patent number: 6451510
    Abstract: An apparatus and method are provided for developing photoresist patterns on electronic component substrates such as semiconductor wafers. The method and apparatus use a specially defined developer composition in sequence with a specially defined rinse composition to develop an exposed photoresist pattern and then to rinse the developed pattern. Both the developer composition and rinse composition contain an anionic surfactant and, when the solutions are used in sequence, have been found to provide a resist pattern which avoids pattern collapse even when small features such as line widths less than 150 nm with aspect ratios of greater than about 3 are formed. It is preferred to use a puddle developing and puddle rinsing process to develop and rinse the exposed wafer. Preferred anionic surfactants are ammonium perfluoroalkyl sulfonate and ammonium perfluoroalkyl carboxylate.
    Type: Grant
    Filed: February 21, 2001
    Date of Patent: September 17, 2002
    Assignee: International Business Machines Corporation
    Inventors: Scott A. Messick, Wayne M. Moreau, Christopher F. Robinson
  • Publication number: 20020127500
    Abstract: A photoresist stripper composition is formed of a mixture of acetone, &ggr;-butyrolactone, and ester solvent. A photoresist stripping method includes spraying the photoresist stripper composition over a substrate while rotating the substrate at a relatively low speed, so as to strip photoresist from the substrate. The rotation of the substrate is stopped for a short period of time, and thereafter the photoresist stripper composition is again sprayed over the substrate while rotating the substrate at a relatively high speed. Then, the substrate is rinsed with pure water.
    Type: Application
    Filed: January 15, 2002
    Publication date: September 12, 2002
    Inventors: Sang-Sik Moon, Mi-Sook Jeon, Pil-Kwon Jun, June-Ing Kil, Je-Eung Park, Sang-Mun Chun
  • Patent number: 6444411
    Abstract: A non-liquid composition suitable as an alcohol replacement in fountain solutions prepared by dry blending 10-50% by weight of a film forming/humectant agent, 10-50% by weight of a plasticizer/hygroscopic agent, 0-5% by weight of an acetylinic surfactant, 0-10% by weight of an ethoxylated surfactant system, 0-1% by weight of a silicone glycol and 0-1% by weight of an anti-foaming agent.
    Type: Grant
    Filed: September 25, 2000
    Date of Patent: September 3, 2002
    Inventors: Trevor Law, Telluckram Maharaj
  • Publication number: 20020119404
    Abstract: An aqueous developer for imageable elements that contain a radiation-sensitive initiator system and at least one free radical polymerizable material is disclosed. The developer develops these plates quickly, with high throughput, but with no sludge formation in the developing process. The developer contains water, a buffer, and one or more filter dyes and/or one of more free radical inhibitors. The imageable elements are useful as printing plates.
    Type: Application
    Filed: February 27, 2002
    Publication date: August 29, 2002
    Inventors: Ulrich Fiebag, Harald Baumann, Hans-Joachim Timpe
  • Patent number: 6440647
    Abstract: A process for removing patterned negative working resist from the surface of a substrate during manufacture of printed wiring boards is disclosed. The process includes the steps of contacting the patterned resist with a stripping solution containing an alkalinity source as well as a source of ammonium ions. The stripping solution is characterized in that it does not contain volatile organic compounds (VOCs).
    Type: Grant
    Filed: October 3, 2000
    Date of Patent: August 27, 2002
    Assignee: Alpha Metals, Inc.
    Inventor: Eric Yakobson
  • Publication number: 20020115022
    Abstract: An apparatus and method are provided for developing photoresist patterns on electronic component substrates such as semiconductor wafers. The method and apparatus use a specially defined developer composition in sequence with a specially defined rinse composition to develop an exposed photoresist pattern and then to rinse the developed pattern. Both the developer composition and rinse composition contain an anionic surfactant and, when the solutions are used in sequence, have been found to provide a resist pattern which avoids pattern collapse even when small features such as line widths less than 150 nm with aspect ratios of greater than about 3 are formed. It is preferred to use a puddle developing and puddle rinsing process to develop and rinse the exposed wafer. Preferred anionic surfactants are ammonium perfluoroalkyl sulfonate and ammonium perfluoroalkyl carboxylate.
    Type: Application
    Filed: February 21, 2001
    Publication date: August 22, 2002
    Applicant: International Business Machines Corporation
    Inventors: Scott A. Messick, Wayne M. Moreau, Christopher F. Robinson
  • Patent number: 6432621
    Abstract: A resist developer obtained by a process comprising mixing (1) at least one compound selected from the group consisting of a basic compound A and an organic compound B having phenolic hydroxyl group and carboxyl group in a molecule, and/or (2) a salt C formed between the basic compound A and the organic compound B. The resist developer exhibiting excellent dissolution selectivity, and developing a resist in a short period of time, can be used for developing positive and negative resists.
    Type: Grant
    Filed: December 29, 1999
    Date of Patent: August 13, 2002
    Assignee: Kao Corporation
    Inventors: Shougo Nomoto, Akimitsu Sakai, Kozo Kitazawa
  • Patent number: 6432622
    Abstract: A photoresist stripper composition is formed of a mixture of acetone, &ggr;-butyrolactone, and ester solvent. A photoresist stripping method includes spraying the photoresist stripper composition over a substrate while rotating the substrate at a relatively low speed, so as to strip photoresist from the substrate. The rotation of the substrate is stopped for a short period of time, and thereafter the photoresist stripper composition is again sprayed over the substrate while rotating the substrate at a relatively high speed. Then, the substrate is rinsed with pure water.
    Type: Grant
    Filed: October 6, 2000
    Date of Patent: August 13, 2002
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sang-sik Moon, Mi-sook Jeon, Pil-kwon Jun, June-ing Kil, Je-eung Park, Sang-mun Chun
  • Publication number: 20020106589
    Abstract: This invention provides water-based compositions, particularly coating, ink, fountain solution and agricultural compositions, manifesting reduced equilibrium and dynamic surface tension by the incorporation of a surface tension reducing amount of an acetylenic diol ethylene oxide/propylene oxide adduct of the structure 1
    Type: Application
    Filed: December 18, 2001
    Publication date: August 8, 2002
    Inventors: Kevin Rodney, Paula Ann
  • Patent number: 6426177
    Abstract: A method for developing copolymer photosensitive resists wherein a single solvent is used in conjunction with a puddle develop tool. The copolymer resist is ZEP 7000 and the developer is ethyl 3-ethoxy propionate (EEP).
    Type: Grant
    Filed: December 27, 2000
    Date of Patent: July 30, 2002
    Assignee: International Business Machines Corporation
    Inventors: Thomas B. Faure, Steven D. Flanders, Lyndon S. Gibbs, James P. Levin, Harold G. Linde, Joseph L. Malenfant, Jr., Jeffrey F. Shepard
  • Patent number: 6423480
    Abstract: A remover composition obtained by adding 1 to 90% by weight of a cyclic urea compound represented by the following general formula (I): wherein, each of R1 and R2 independently represents a hydrogen atom, hydroxyl group, carboxyl group or alkyl group which may be substituted, and Z represents an oxygen atom or sulfur atom.
    Type: Grant
    Filed: December 22, 2000
    Date of Patent: July 23, 2002
    Assignee: Sumitomo Chemical Company, Limited
    Inventor: Naoki Ichiki
  • Publication number: 20020086242
    Abstract: Wafer developer solution is removed in a wafer spinning process wherein the wafer is spun for a period of time before a deionized water bath is introduced. The delay allows more developer to be spun off before introducing the water, which eliminates residue and particulates on the wafer surface.
    Type: Application
    Filed: November 8, 2001
    Publication date: July 4, 2002
    Inventors: Mark A. Boehm, Amy Zhou, Yu-Tai Lee, Ashesh Parikh, David M. Curran
  • Publication number: 20020081527
    Abstract: A plate-making method of a lithographic printing plate comprising exposing imagewise a photosensitive lithographic printing plate comprising an aluminum support and a photosensitive layer comprising a photosensitive composition of photopolymerization type, which contains a compound having a nitrogen atom and an ethylenically unsaturated double bond, a photopolymerization initiator and a polymer binder, and developing the exposed printing plate with a developing solution containing (1) an inorganic alkali agent and (2) a nonionic surface active agent having a polyoxyalkylene ether group.
    Type: Application
    Filed: October 16, 2001
    Publication date: June 27, 2002
    Inventor: Shunichi Kondo
  • Patent number: 6403284
    Abstract: A surface-treating solution for a photosensitive resin printing plate which comprises an aqueous surface active agent solution and a hydrogen-abstracting agent which can abstract a hydrogen atom of a compound upon irradiation with an active radiation which hydrogen-abstracting agent is uniformly dispersed in the aqueous surface active agent solution.
    Type: Grant
    Filed: March 6, 1998
    Date of Patent: June 11, 2002
    Assignee: Asahi Kasei Kogyo Kabushiki Kaisha
    Inventor: Masahiro Yoshida
  • Patent number: 6403288
    Abstract: A method of forming a resist pattern from a chemically amplified positive radiation sensitive resin composition. The film thickness of an unexposed portion of a resist film formed from the chemically amplified positive radiation sensitive resin composition after wet development is 100 to 400 Å smaller than that before wet development. Alternatively, a resist film formed from the chemically amplified positive radiation sensitive resin composition is wet developed at both a temperature and a time enough to ensure that the film thickness of an unexposed portion of the resist film after wet development is 100 to 400 Å smaller than that before wet development. A resist film which is formed from a chemically amplified positive radiation sensitive resin composition and experiences a 100 to 400 Å reduction in the film thickness of an unexposed portion by wet development is useful as a resist film for forming a resist pattern.
    Type: Grant
    Filed: March 7, 2000
    Date of Patent: June 11, 2002
    Assignee: JSR Corporation
    Inventors: Yukio Nishimura, Toshiyuki Kai, Eiichi Kobayashi, Takeo Shioya
  • Patent number: 6403289
    Abstract: The invention relates to a developer for photosensitive polyimide resin compositions, comprising an alkaline aqueous solution containing a basic compound (A) represented by a formula (1): wherein X+ is N+ or P+, R is an alkyl group having 1 to 20 carbon atoms or an aryl group having 6 to 10 ring forming carbon atoms, Y− is a monovalent anion, m is 0 or 1, n is 3 or 4, and m+n is 4, with the proviso that when m is 0, n is 4, and R is an alkyl group, the total number of carbon atoms of 4 alkyl groups is at least 13, or when m is 1, n is 3, and R is an alkyl group, the total number of carbon atoms of 3 alkyl groups is at least 6.
    Type: Grant
    Filed: October 30, 1998
    Date of Patent: June 11, 2002
    Assignees: Nippon Zeon Co., Ltd., Fujitsu Limited
    Inventors: Akira Tanaka, Kei Sakamoto, Yasuhiro Yoneda, Kishio Yokouchi
  • Publication number: 20020068244
    Abstract: The present invention is directed toward effective photoresist stripping compositions that are less corrosive and do not cause skin irritation. One form of the present invention is a composition useful as a photoresist remover that includes an alkylene carbonate, and one or more additional components chosen from the group that includes alkyl hydrogen peroxides, hydroxyalkyl ureas, urea-hydrogen peroxides, N-substituted morpholines and alcohols. Another form of the present invention is a composition for removing photoresist from a surface that includes an N-substituted morpholine.
    Type: Application
    Filed: September 5, 2001
    Publication date: June 6, 2002
    Applicant: Huntsman Petrochemical Corporation
    Inventors: James R. Machac, Edward T. Marquis, Wheeler C. Crawford
  • Patent number: 6399513
    Abstract: A method for resist strip and metal contamination removal. Wafers (108) with a patterned resist formed thereon are subjected to an ozonated deionized water solution, such as mist (120). The ozonated deionized water solution (120) strips the resist and removes the resist residue. At the end of the process, HCl (152) is added to the deionized water (116) prior to forming the ozonated deionized water solution (120) to remove metal contaminants.
    Type: Grant
    Filed: September 21, 2000
    Date of Patent: June 4, 2002
    Assignee: Texas Instruments Incorporated
    Inventors: Neal T. Murphy, Claire Ching-Shan Jung, Danny F. Mathews
  • Patent number: 6399277
    Abstract: A photopolymeriziable thermosetting resin composition comprising (A) a mixture consisting of (a) an active energy ray-setting resin and obtained by reacting an unsaturated monobasic acid copolymer resin with a cycloaliphatic epoxy group-containing unsaturated compound or reacting a cycloaliphatic epoxy group containing copolymer resin with an acid group-containing unsaturated compound and (b) an photosensitive prepolymer obtained by esterifying a novolak type epoxy compound with an alpha-beta-unsaturated carboxylic acid and then further reacting with polybasic acid anhydride (and in one embodiment further reacting with an unsaturated isocyanate).
    Type: Grant
    Filed: December 28, 1998
    Date of Patent: June 4, 2002
    Assignee: Vantico Inc.
    Inventors: Yasuharu Nojima, Toyoyuki Ido
  • Patent number: 6387594
    Abstract: A plate making film has a layer provided on a transparent substrate, the layer consisting essentially of a polymeric compound composed of a repeating unit represented by the following general formula (1): &Brketopenst;AXAR1&Brketclosest;  (1) where A is x, y and z each denote an integer of 1 or more, X is a residue of an organic compound having 2 active hydrogen groups, Y is a hydrocarbon group having 1 or more carbon atoms, and R1 is a dicarboxylic acid compound residue, or a diisocyanate compound residue. On the plate making film, an image is formed, preferably, by ink jets using, preferably, a plate making ink containing a mono- or disazo dye which is a yellow dye highly ultraviolet absorbing properties for a wavelength of 350 to 450 nm. An inexpensive, high resolution, high grade photomask for plate making is produced thereby.
    Type: Grant
    Filed: May 28, 1999
    Date of Patent: May 14, 2002
    Assignee: Mitsubishi Plastics, Inc.
    Inventors: Osamu Kakishita, Jun Nishioka, Shigeki Nishimura, Kazuo Kuzukawa
  • Publication number: 20020055066
    Abstract: An alkaline liquid developer suitable for development of an infrared radiation-presensitized plate for use in making a lithographic printing plate, which developer comprises at least one selected from the group consisting of amphoteric surfactants and cationic surfactants; a method for preparing a lithographic printing plate comprising the steps of imagewise light-exposing to infrared radiation, a presensitized plate for use in making a lithographic printing plate, said presensitized plate having an image-forming layer which comprises an IR-absorbing agent, and developing the light-exposed plate with an alkaline liquid developer comprising at least one selected from the group consisting of amphoteric surfactants and cationic surfactants. The alkaline liquid develper can provide a highly sharp and clear image in a lithographic printing plate without damages to the image areas, simultaneously exhibiting highly development performance to the non-image areas.
    Type: Application
    Filed: August 23, 2001
    Publication date: May 9, 2002
    Inventor: Shuichi Takamiya
  • Patent number: 6379870
    Abstract: The invention provides a process for monitoring the quality of via or trench formation in the production of a semiconductor device. More particularly, the invention pertains to a process for detecting side wall oxidation of low dielectric constant materials during the formation of vias or trenches in dielectrics. At least one via and/or trench is cleaved and contacted with a solvent to remove partially oxidized portions of dielectrics on the side walls, enabling defects to be visually inspected.
    Type: Grant
    Filed: July 12, 2000
    Date of Patent: April 30, 2002
    Assignee: Honeywell International Inc.
    Inventor: Jude A. Dunne
  • Patent number: 6372410
    Abstract: A resist stripping composition contains 0.001 to 0.5% by weight of a fluorine compound, 50 to 99% by weight of an ether solvent and the balance being substantially water. With such a specific content range of the ether solvent, the resist stripping composition shows reduced corrosive properties when diluted with water in the rinsing step as well as shows complete removal of resist residues without causing corrosion of wiring materials and substrate materials.
    Type: Grant
    Filed: September 25, 2000
    Date of Patent: April 16, 2002
    Assignee: Mitsubishi Gas Chemical Company, Inc.
    Inventors: Kazuto Ikemoto, Kojiro Abe, Tetsuo Aoyama
  • Patent number: 6372408
    Abstract: In the exposure and development of available deep ultraviolet (DUV) sensitive photoresist it has been observed that following the standard prior art methods of exposure and development results in a high density of undesirable remnants (denoted as Blob Defects) of various components of photoresist material remaining on the semiconductor substrate (body). A method of exposing and developing the photoresist material which results in a reduced incidence of these Blob Defects consists of using a Puddle Development technique to develop the photoresist material, and subsequently exposing the semiconductor wafer to at least one Puddle Rinse cycle which uses water.
    Type: Grant
    Filed: June 21, 2000
    Date of Patent: April 16, 2002
    Assignees: Infineon Technologies AG, International Business Machines Corporation
    Inventors: Zhijian Lu, Alan Thomas, Alois Gutmann, Kuang Jung Chen, Margaret C. Lawson
  • Patent number: 6372414
    Abstract: The present invention relates to a process for providing a pattern on a substrate for use in a metal lift-off process, the process comprising: 1) coating a substrate with a liquid positive photoresist; 2) soft baking the coated substrate; 3) contacting the substrate with an aqueous alkaline developer containing from about 0.005 volume percent to about 0.05 volume percent of an alkylene glycol alkyl ether; 4) placing a patterned mask over the substrate; 5) exposing the substrate through the mask; 6) post exposure baking the substrate; 7) optionally, flood exposing the substrate; and 8) developing the substrate with an aqueous alkaline developer. The invention also relates to a novel developer solution of an ammonium hydroxide containing from about 0.005 volume percent to about 0.5 volume percent of an alkylene glycol alkyl ether and to a process for producing such a novel developer solution.
    Type: Grant
    Filed: March 12, 1999
    Date of Patent: April 16, 2002
    Assignee: Clariant Finance (BVI) Limited
    Inventors: Randy D. Redd, Ralph R. Dammel, John P. Sagan, Mark A. Spak