Plural Exposure Steps Patents (Class 430/394)
  • Patent number: 11972953
    Abstract: A semiconductor structure and a manufacturing method thereof are provided. The method includes: providing a substrate; forming, on the substrate, a first mask layer having a plurality of strip-shaped first patterns arranged in parallel; forming, on the first mask layer, a second mask layer having a plurality of strip-shaped second patterns arranged in parallel; forming, on the second mask layer, a third mask layer having a plurality of strip-shaped third patterns arranged in parallel, the second patterns overlap with the third patterns, and the second patterns and the third patterns are configured to sever the first patterns at predetermined positions; and performing layer-by-layer etching, using the first mask layer, the second mask layer, and the third mask layer as masks to transfer the first patterns, the second patterns, and the third patterns to the substrate to form an array of discrete active areas.
    Type: Grant
    Filed: August 7, 2021
    Date of Patent: April 30, 2024
    Assignee: Changxin Memory Technologies, Inc.
    Inventor: Zhen Zhou
  • Patent number: 11955421
    Abstract: An integrated circuit includes a plurality of transistors and an interlevel dielectric layer formed over the transistors. The interlevel dielectric layer includes a first region and a second region with a higher dielectric constant than the first region. The difference in dielectric constant is produced by curing the first region shielding the second region from the curing. Metal signal lines are formed in the first region. Metal-on-metal capacitors are formed in the second region.
    Type: Grant
    Filed: August 30, 2021
    Date of Patent: April 9, 2024
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventor: Anhao Cheng
  • Patent number: 11957038
    Abstract: A mask includes a body unit through which a deposition opening is defined, and a protrusion unit through which a pattern opening is defined and which protrudes from a corner of the body unit, where a thickness of the body unit is greater than a thickness of the protrusion unit.
    Type: Grant
    Filed: April 30, 2021
    Date of Patent: April 9, 2024
    Assignee: SAMSUNG DISPLAY CO., LTD.
    Inventors: Areum Lee, Jeongkuk Kim, Hwi Kim, Seungyong Song, Kyu Hwan Hwang
  • Patent number: 11940725
    Abstract: A blankmask for EUV lithography includes a substrate, a reflective layer, a capping layer, and a phase shift layer. The phase shift layer is made of a material containing ruthenium (Ru) and chromium (Cr), and a total content of ruthenium (Ru) and chromium (Cr) is 50 to 100 at %. The phase shift layer may further contain boron (B) or nitrogen (N). The phase shift layer of the present invention has a high relative reflectance (relative reflectance with respect to a reflectance of the reflective layer under the phase shift layer) with respect to a tantalum (Ta)-based phase shift layer and has a phase shift amount of 170 to 230°. It is possible to obtain excellent resolution when finally manufacturing a pattern of 7 nm or less by using a photomask manufactured using such a blankmask.
    Type: Grant
    Filed: December 6, 2021
    Date of Patent: March 26, 2024
    Assignee: S&S Tech Co., Ltd.
    Inventors: Cheol Shin, Yong-Dae Kim, Jong-Hwa Lee, Chul-Kyu Yang, Min-Kwang Park, Mi-Kyung Woo
  • Patent number: 11941221
    Abstract: A touch sensor includes a sensing part in which a plurality of sensing cells is arranged and connected and a wiring part connected to the sensing part and formed outside the sensing part. The wiring part includes a first divisional wiring part having a plurality of first divisional wires having a connecting protrusion with a width larger than that of the wiring at one end thereof and a second divisional wiring part having a plurality of second divisional wires having one end thereof with a width smaller than that of the connecting protrusion and coupled to and overlapped with the connecting protrusion. The first divisional wiring part and the second divisional wiring part are formed by divisional exposure.
    Type: Grant
    Filed: August 4, 2021
    Date of Patent: March 26, 2024
    Assignee: DONGWOO FINE-CHEM CO., LTD.
    Inventors: Dongjin Son, Junha Kim
  • Patent number: 11934095
    Abstract: A method of managing a critical dimension error includes (i) defining, in a photomask, N openings having a width, where N is a natural number, (ii) using graphs for each of the N openings, each of the graphs being obtained by setting locations through an opening of the N openings as a first axis and an intensity of transmitting light as a second axis, obtaining ILSi proportional to an inclination of a tangent to a graph of the graphs at a location corresponding to an edge of an opening and Ii which is an intensity of transmitting light at the location, where i is a natural number from 1 to N, (iii) obtaining, with respect to each of the N openings, a real width CDi of the openings, and (iv) when I a ? v ? e = 1 N ? ? i = 1 N ? I i , CD a ? v ? e = 1 N ? ? i = 1 N ? CD i ? ? and ? ? ILS a ? v ? e = 1 N ? ? i = 1 N ? ILS i , obtaining AIMEEFi which is an aerial image mask error enhancement factor with respect to each of the N openings accordi
    Type: Grant
    Filed: July 19, 2021
    Date of Patent: March 19, 2024
    Assignee: SAMSUNG DISPLAY CO., LTD.
    Inventors: Jaehyuk Chang, Taejoon Kim, Hyunkyu Sun, Sikyung Lim
  • Patent number: 11928296
    Abstract: A display device may include a display panel, an input sensing unit, and an alignment structure. The display panel may include a sealing member. The input sensing unit may be disposed on the display panel. The input sensing unit may include first-type sensor electrodes directly contacting a face of a first insulator of the display device, a first-type connector electrically connecting the first-type sensor electrodes, second-type sensor electrodes directly contacting the face of the first insulator of the display device, and a second-type connector electrically connecting the second-type sensor electrodes. The alignment structure may overlap the sealing member and may include a transparent member that directly contacts the face of the first insulator of the display device.
    Type: Grant
    Filed: October 31, 2022
    Date of Patent: March 12, 2024
    Assignee: Samsung Display Co., Ltd.
    Inventors: Jong Seon Park, Hwan Hee Jeong
  • Patent number: 11899357
    Abstract: A mask for use in a semiconductor lithography process includes a substrate, a mask pattern disposed on the substrate, and a light absorbing border surrounding the mask pattern. The light absorbing border is inset from at least two edges of the substrate to define a peripheral region outside of the light absorbing border. In some designs, a first peripheral region extends from an outer perimeter of the light absorbing border to a first edge of the substrate, and a second peripheral region that extends from the outer perimeter of the light absorbing border to a second edge of the substrate, where the first edge of the substrate and the second edge of the substrate are on opposite sides of the mask pattern.
    Type: Grant
    Filed: May 17, 2021
    Date of Patent: February 13, 2024
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Chien-Cheng Chen, Huan-Ling Lee, Ta-Cheng Lien, Chia-Jen Chen, Hsin-Chang Lee
  • Patent number: 11858252
    Abstract: The invention pertains to a photosensitive element, particularly a photopolymerizable printing form precursor; a method of preparing the photosensitive element to form a printing form for use in relief printing; and, a process of making the photosensitive element. The printing form precursor includes a layer of a photosensitive composition, a digital layer that is adjacent to a side of the photosensitive layer, and a cell pattern layer that is disposed between the photosensitive layer and the digital layer. The cell pattern layer includes a plurality of features in which each feature an area between 5 to 750 square microns and is composed of an ink that is opaque to actinic radiation and transparent to infrared radiation. Since the cell pattern layer is integral with the printing form precursor, digital imaging can occur rapidly with relatively low resolution optics to form a mask without needing to also form a microcell pattern of the digital layer.
    Type: Grant
    Filed: September 7, 2022
    Date of Patent: January 2, 2024
    Assignee: DUPONT ELECTRONICS, INC.
    Inventors: Robert M Blomquist, Bradley K Taylor, John Stephen Locke, Mark A Hackler
  • Patent number: 11837623
    Abstract: Methods and apparatus for an assembly having directly bonded first and second wafers where the assembly includes a backside surface and a front side surface. The first wafer includes IO signal connections vertically routed to the direct bonding interface by a first one of the bonding posts on the first wafer bonded to a first one of the bonding posts on the second wafer. The second wafer includes vertical routing of the IO signal connections from first one though the bonding posts on the second wafer to IO pads on a backside surface of the assembly.
    Type: Grant
    Filed: October 12, 2020
    Date of Patent: December 5, 2023
    Assignee: Raytheon Company
    Inventors: Eric Miller, Christian M. Boemler, Justin Gordon Adams Wehner, Drew Fairbanks, Sean P. Kilcoyne
  • Patent number: 11828959
    Abstract: A grating structure, a manufacturing method thereof and a display device are provided. The method of manufacturing the grating structure includes: forming a photosensitive material layer on a substrate; patterning the photosensitive material layer to form a grating transition pattern, where the grating transition pattern includes multiple grating units, the multiple grating units each include a first portion and a second portion which are symmetric, and at least one of the first portion and the second portion includes multiple subunits to have a stepped structure; and curing the grating transition pattern to form the grating structure.
    Type: Grant
    Filed: October 22, 2019
    Date of Patent: November 28, 2023
    Assignee: BOE TECHNOLOGY GROUP CO., LTD.
    Inventors: Wusheng Li, Qi Yao
  • Patent number: 11803013
    Abstract: Aspects of the present disclosure are directed to fabrication of large-footprint chips having integrated photonic components comprising low-loss optical waveguides. The large footprint chips require the use of multiple reticles during fabrication. Stitching adjacent reticle fields seamlessly is accomplished by overlaying into adjacent reticle fields, tapering waveguide ends, and using strategically placed alignment marks in the die.
    Type: Grant
    Filed: March 31, 2022
    Date of Patent: October 31, 2023
    Assignee: Anello Photonics, Inc.
    Inventors: Avi Feshali, Warren Bruce Jin, Mario Paniccia
  • Patent number: 11772324
    Abstract: Described herein are methods, systems and apparatus (including associated control methods, systems and apparatus), for the production of a three-dimensional object by “bottom up” additive manufacturing, in which a carrier is vertically reciprocated with respect to a build surface, to enhance or speed the refilling of the build region with a solidifiable liquid. In preferred (but not necessarily limiting) embodiments, the three-dimensional object is produced from a liquid interface by continuous liquid interface production (i.e., “CLIP”).
    Type: Grant
    Filed: April 27, 2022
    Date of Patent: October 3, 2023
    Assignee: Carbon, Inc.
    Inventors: Alexander Ermoshkin, David Shirvanyants, Nordstrom Kirk Phelps, Nikita Ermoshkin, Edward T. Samulski, Joseph M. DeSimone
  • Patent number: 11762180
    Abstract: A light sheet imaging system, such as a light sheet microscope, comprises an illumination arrangement for generating a light sheet for three-photon excitation of a fluorescent sample, and a fluorescence collection arrangement for collecting fluorescence generated in the sample as a result of three-photon excitation by the light sheet. The light sheet may be a non-diffractive, propagation-invariant light sheet. The light sheet may be formed from and/or comprise a Bessel beam. A method of light sheet imaging comprises using a light sheet for three-photon excitation of a fluorescent sample, and collecting fluorescence generated in the sample as a result of three-photon excitation of the sample by the light sheet. Such a method may be used for light sheet microscopy.
    Type: Grant
    Filed: June 15, 2018
    Date of Patent: September 19, 2023
    Assignee: UNIVERSITY COURT OF THE UNIVERSITY OF ST ANDREWS
    Inventor: Kishan Dholakia
  • Patent number: 11742217
    Abstract: A device includes a polymer. A device die is disposed in the polymer. A passive device includes three Through Assembly Vias (TAVs) penetrating through the polymer, wherein the TAVs are coupled in series. A Redistribution Line (RDL) is underlying the polymer. The RDL electrically couples a first one of the TAVs to a second one of the TAVs.
    Type: Grant
    Filed: January 6, 2021
    Date of Patent: August 29, 2023
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Chih-Hua Chen, Chen-Shien Chen
  • Patent number: 11724533
    Abstract: Systems and processes for making a flexo plate, and plates made thereby. Non-printing indicia defined by areas of presence and absence of polymer in the plate floor created using microdots imaged during a LAMS layer imaging step are readable downstream of the washing or other non-cured-polymer-removal step but not to print in the printing step. The non-printing indicia may define a repeating pattern of alphanumeric characters, non-text graphics, or a combination thereof. A difference in growth of plate structures corresponding to different types of microdots may be used for characterizing processing conditions.
    Type: Grant
    Filed: September 4, 2019
    Date of Patent: August 15, 2023
    Inventors: Wolfgang Sievers, Pascal Thomas, Thomas Klein
  • Patent number: 11709423
    Abstract: A method of imprinting a pattern on a substrate is provided. The method includes forming a first pattern on a plurality of masters using a method other than imprinting, the first pattern including a plurality of patterned features of varying sizes; measuring the patterned features at a plurality of locations on each of the masters; selecting a first master of the plurality of masters based on the measurements of the patterned features on each of the masters; using the first master to form a second pattern on an imprint template; and imprinting the first pattern on a first device with the imprint template.
    Type: Grant
    Filed: May 9, 2022
    Date of Patent: July 25, 2023
    Assignee: Applied Materials, Inc.
    Inventors: Hao Tang, Kang Luo, Erica Chen, Yongan Xu
  • Patent number: 11690579
    Abstract: An apparatus is configured to receive input image data corresponding to output image data of a first radiology scanner device, translate the input image data into a format corresponding to output image data of a second radiology scanner device and generate an output image corresponding to the translated input image data on a post processing imaging device associated with the first radiology scanner device. Medical images from a new scanner can be translate to look as if they came from a scanner of another vendor.
    Type: Grant
    Filed: June 16, 2020
    Date of Patent: July 4, 2023
    Assignee: Shanghai United Imaging Intelligence Co., LTD.
    Inventors: Srikrishna Karanam, Ziyan Wu, Terrence Chen
  • Patent number: 11675958
    Abstract: In a method of optimizing a lithography model in a lithography simulation, a mask is formed in accordance with a given layout, a wafer is printed using the mask, a pattern formed on the printed wafer is measured, a wafer pattern is simulated using a wafer edge bias table and the given mask layout, a difference between the simulated wafer pattern and the measured pattern is obtained, and the wafer edge table is adjusted according to the difference.
    Type: Grant
    Filed: July 30, 2021
    Date of Patent: June 13, 2023
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Fu An Tien, Hsu-Ting Huang, Ru-Gun Liu, Shih-Hsiang Lo
  • Patent number: 11660807
    Abstract: A layer-by layer method for additive manufacturing that includes: photocuring a first volume of resin to form a layer of a build at an upper surface of a separation membrane laminated over a build window; injecting a fluid into an interstitial region between the separation membrane and the build window; retracting the build from the build window; evacuating the fluid from the interstitial region; and photocuring a second volume of liquid resin to form a subsequent layer of the build between an upper surface of a separation membrane and the previous layer of the build.
    Type: Grant
    Filed: August 17, 2021
    Date of Patent: May 30, 2023
    Assignee: Stratasys, Inc.
    Inventors: Christopher Prucha, Joel Ong
  • Patent number: 11662659
    Abstract: Disclosed are a photomask, an exposure apparatus, and a method of fabricating a three-dimensional semiconductor memory device using the same. The photomask may include a mask substrate, a first mask pattern on the mask substrate, and an optical path modulation substrate. The optical path modulation substrate may include a first region on a portion of the first mask pattern, and a second region on another portion of the first mask pattern. The second region has a thickness that is less than a thickness of the first region.
    Type: Grant
    Filed: May 3, 2021
    Date of Patent: May 30, 2023
    Inventors: Donghwan Kim, Woosung Kim, Gunwoo Park, Ki-Bong Seo, Jang-Hwan Jeong
  • Patent number: 11654627
    Abstract: A system and method for providing three-dimensional printing is disclosed. The three-dimensional printing technology includes enhanced functionality to provide better resolution printing, filtration of forming materials stored within a reservoir tank, and a simple and efficient cleaning process to remove debris from the reservoir subsequent to a printing cycle.
    Type: Grant
    Filed: March 24, 2017
    Date of Patent: May 23, 2023
    Assignee: SprintRay, Inc.
    Inventors: Jing Zhang, Amirhossein Mansourighasri, Abdol Hossein Bassir
  • Patent number: 11639027
    Abstract: A system and method for continuous additive manufacturing of objects is provided. The system includes a container configured to receive a photopolymer and a print bed disposed within the container. An first end effector is movably disposed within the container. A light source is operably coupled to the first end effector, the light source being configured to emit and electromagnetic radiation. Wherein at least one of the print bed or first end effector is movable relative to the other to perform continuous separation curing of the photopolymer on the print bed with the electromagnetic radiation.
    Type: Grant
    Filed: February 12, 2021
    Date of Patent: May 2, 2023
    Assignee: CALT DYNAMICS LIMITED
    Inventors: Ross Lawless, Irene Villafane
  • Patent number: 11624917
    Abstract: A waveguide display includes a waveguide and a grating coupler configured to couple display light into or out of the waveguide. The grating coupler includes at least a first grating layer and a second grating layer arranged in a stack. The first grating layer is characterized by a first thickness and includes a first transmission VBG configured to diffract display light of a first wavelength from a first field of view. The second grating layer is characterized by a second thickness greater than the first thickness and includes a second transmission VBG configured to diffract display light of the first wavelength from a second field of view greater than the first field of view.
    Type: Grant
    Filed: April 3, 2020
    Date of Patent: April 11, 2023
    Assignee: META PLATFORMS TECHNOLOGIES, LLC
    Inventors: Wanli Chi, Dominic Meiser, Yang Yang, Wai Sze Tiffany Lam, Pasi Saarikko
  • Patent number: 11594561
    Abstract: A method of manufacturing a display device in a chamber in which a material including yttrium is coated on an inner surface includes: forming a first layer pattern by dry etching on a substrate; depositing a second layer material on the first layer pattern; forming a photoresist pattern on the second layer material; completing a second layer pattern by using the photoresist pattern as an etch mask; and performing an additional acid etching process by using an etching solution including at least one of hydrochloric acid, sulfuric acid, or nitric acid before the forming of the photoresist pattern on the second layer material after the dry etching to form the first layer pattern.
    Type: Grant
    Filed: October 20, 2020
    Date of Patent: February 28, 2023
    Assignee: SAMSUNG DISPLAY CO., LTD.
    Inventors: Yong-Hwan Ryu, Woo Jin Cho, Jong-Hyun Choung, Jae Uoon Kim, Sun-Jin Song, Hyun Duck Cho
  • Patent number: 11581217
    Abstract: A method for forming openings in an underlayer includes: forming a photoresist layer on an underlayer formed on a substrate; exposing the photoresist layer; forming photoresist patterns by developing the exposed photoresist layer, the photoresist patterns covering regions of the underlayer in which the openings are to be formed; forming a liquid layer over the photoresist patterns; after forming the liquid layer, performing a baking process so as to convert the liquid layer to an organic layer in a solid form; performing an etching back process to remove a portion of the organic layer on a level above the photoresist patterns; removing the photoresist patterns, so as to expose portions of the underlayer by the remaining portion of the organic layer; forming the openings in the underlayer by using the remaining portion of the organic layer as an etching mask; and removing the remaining portion of the organic layer.
    Type: Grant
    Filed: June 14, 2021
    Date of Patent: February 14, 2023
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Tzu-Yang Lin, Cheng-Han Wu, Ching-Yu Chang, Chin-Hsiang Lin
  • Patent number: 11519373
    Abstract: A method for producing an injector which is designed in particular to inject fuel into an induction pipe or directly into a combustion chamber of an internal combustion engine. The method includes providing an injector base element, providing a rod that is insertible into a through hole of the injector base element, producing a negative matrix of a spray orifice element on an axial end of the rod, inserting the rod into the through hole of the injector base element, positioning the negative matrix situated on the rod relative to the injector base element, producing the spray orifice element having at least one spray orifice by applying a galvanization layer on a downstream end, in the injection direction, of the injector base element and on the negative matrix, and removing the rod and the negative matrix.
    Type: Grant
    Filed: February 28, 2019
    Date of Patent: December 6, 2022
    Assignee: Robert Bosch GmbH
    Inventors: Thomas Stach, James Doetsch, Jan Tremel, Markus Feigl, Peter Rueck, Roman Poltoratski, Timo Dehm
  • Patent number: 11518096
    Abstract: A build plate for a three-dimensional printer includes: a rigid, optically transparent, gas-impermeable planar base having an upper surface and a lower surface; and a flexible, optically transparent, gas-permeable sheet having an upper and lower surface, the sheet upper surface comprising a build surface for forming a three-dimensional object, the sheet lower surface positioned on the base upper surface. The build plate includes a gas flow enhancing feature configured to increase gas flow to the build surface.
    Type: Grant
    Filed: January 15, 2021
    Date of Patent: December 6, 2022
    Assignee: Carbon, Inc.
    Inventors: David Moore, John R. Tumbleston, Edward T. Samulski, Alexander Ermoshkin, Jason P. Rolland, Ariel M. Herrmann, Bob E. Feller
  • Patent number: 11491711
    Abstract: This invention relates to the field of 3D printing used to make a 3D object where a 3D printed object is formed using electromagnetic radiation emitted from a visual display screen or emissive pixel array screen illuminated by radiation sources with effectively non-overlapping wavelength emission spectra with the effect of creating two different polymerised properties in the object.
    Type: Grant
    Filed: February 11, 2020
    Date of Patent: November 8, 2022
    Assignee: PhotoCentriC Limited
    Inventors: Paul Holt, Sarah Karmel
  • Patent number: 11464115
    Abstract: The present invention relates to a printing method comprising a step of printing a pattern on a substrate, preferably by ink jet printing, followed by a gold plating step by means of contact between the pre-printed pattern to be gold plated and a gold plating deposition device, such as a preferably conductive metal sheet, e.g. a multilayer film comprising a preferably conductive metal sheet.
    Type: Grant
    Filed: March 8, 2018
    Date of Patent: October 4, 2022
    Assignees: MGI DIGITAL TECHNOLOGY, INKJET ENGINE TECHNOLOGY
    Inventors: Edmond Abergel, Louis Gautier Le Boulch, Clement Beges
  • Patent number: 11444107
    Abstract: A manufacturing method of a display panel includes providing a substrate having a first surface and a second surface opposite to the first surface; forming a high-shielding position layer on the first surface, wherein the light-shielding positioning layer has at least one first alignment pattern; forming a transparent material layer on the second surface; forming a photoresist layer on the transparent material layer; performing an exposure process, such that a light beam passes through the at least one first alignment pattern to penetrate through the substrate and the transparent material layer to the photoresist layer; performing a developing process to pattern the photoresist layer and form a patterned photoresist layer; and performing an etching process to pattern the transparent positioning layer having at least one second alignment pattern. In a direction perpendicular to the substrate, at least one first alignment pattern overlaps with at least one second alignment pattern.
    Type: Grant
    Filed: September 30, 2020
    Date of Patent: September 13, 2022
    Assignee: AU Optronics Corporation
    Inventors: Peng-Bo Xi, Chun-Cheng Cheng
  • Patent number: 11443661
    Abstract: A flexible display substrate and a method for manufacturing the same are provided. The method includes: forming a first insulating layer on a flexible base substrate; forming an etching barrier layer on a side of the first insulating layer away from the flexible base substrate; forming a second insulating layer covering the etching barrier layer on the side of the first insulating layer away from the flexible base substrate; and forming a first opening in the first insulating layer and a second opening in the second insulating layer through one patterning process, so that an orthographic projection of the first opening on the flexible base substrate falls within an orthographic projection of the second opening on the flexible base substrate, so as to form a step portion at a connection position where the first opening is connected to the second opening.
    Type: Grant
    Filed: April 10, 2020
    Date of Patent: September 13, 2022
    Assignees: CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD., BEIJING BOE TECHNOLOGY DEVELOPMENT CO., LTD.
    Inventors: Liang Song, Yufei Ji, Pengyu Liao, Jiahao Xu, Hao Cheng, Wuyang Zhao, Long Jiang, Fei Ou, Jun Peng
  • Patent number: 11413856
    Abstract: A method and apparatus for making a three-dimensional object by solidifying a solidifiable material are shown and described. A photohardening inhibitor is admitted into a surface of a photohardenable material to create a “dead zone” where little or no solidification occurs. The dead zone prevents the exposed surface of the photohardenable material from solidifying in contact with a container bottom or film. As the solidified object areas get larger and the build platform speed increases, the dead zone increases which can cause the formation of channels in the resulting objects and delamination. A number of techniques including continuous/discontinuous mode switching, multiple illuminations of portions of the same layer, and the use of gray scaling are disclosed for regulating the size of the dead zone.
    Type: Grant
    Filed: July 3, 2020
    Date of Patent: August 16, 2022
    Assignee: Global Filtration Systems
    Inventors: Ali El-Siblani, Mohamad Janbain
  • Patent number: 11373679
    Abstract: A recording head includes a near-field transducer proximate a media-facing surface of the recording head and a waveguide that overlaps and delivers light to the near-field transducer. The recording head includes subwavelength-sized focusing mirror comprising first and second reflectors disposed on cross track sides of the near-field transducer. Each of the first and second reflectors is spaced apart from the media-facing surface by a distance, D, measured along an axis normal to the media-facing surface.
    Type: Grant
    Filed: August 19, 2021
    Date of Patent: June 28, 2022
    Assignee: SEAGATE TECHNOLOGY LLC
    Inventors: Ruoxi Yang, YongJun Zhao, Nan Zhou, Weibin Chen, Huaqing Yin, Michael Allen Seigler
  • Patent number: 11373899
    Abstract: According to the present embodiment, the pattern generation device includes a misalignment value calculation unit configured to acquire a layout information, calculate a layout function from the layout information, and calculate a misalignment value by a convolution of the layout function and an integral kernel having a predetermined parameter, and a pattern correction unit configured to correct a pattern to generate a modified layout information using a calculated result by the misalignment value calculation unit, and output the modified layout information.
    Type: Grant
    Filed: March 4, 2020
    Date of Patent: June 28, 2022
    Assignee: Kioxia Corporation
    Inventors: Taiki Kimura, Tetsuaki Matsunawa
  • Patent number: 11353845
    Abstract: A model-adaptive multi-source large-scale mask projection 3D printing system configured to conduct the following steps: projecting pure-color images of first and second colors having identical attributes, capturing an image of an overlapping portion and calculating height and width information of the overlapping portion; splitting a pre-processed slice and respectively recording width and height information of two slices resulting from the splitting and generating two gray scale images having identical attributes thereto; counting power values of identical positions of slices in different gray scale values, performing a further calculation to obtain a projection mapping function, using the projection mapping function as a basis for performing optimization on gray scale interpolation of the generated images; and fusing the processed gray scale images and the originally split two slices to obtain a mask projection 3D printing slice having a uniform shaping brightness, and forming a final product.
    Type: Grant
    Filed: August 25, 2020
    Date of Patent: June 7, 2022
    Assignee: Beijing University of Technology
    Inventors: Lifang Wu, Lidong Zhao, Zechao Liu, Jiankang Qiu, Xiaohua Guo, Meng Jian, Ziming Zhang
  • Patent number: 11322398
    Abstract: A process for making an interconnect of a group III-V semiconductor device includes the steps of applying a positive photoresist layer and an image-reversible photoresist layer, subjecting the image-reversible photoresist and positive photoresist layers to patternwise exposure, subjecting the image-reversible photoresist layer to image reversal bake, subjecting the image-reversible photoresist and positive photoresist layers to flood exposure, subjecting the image-reversible photoresist and positive photoresist layers to development, depositing a diffusion barrier layer, depositing a copper layer, and removing the image-reversible photoresist and positive photoresist layers.
    Type: Grant
    Filed: December 3, 2019
    Date of Patent: May 3, 2022
    Assignee: NATIONAL CHIAO TUNG UNIVERSITY
    Inventors: Edward-Yi Chang, Yueh-Chin Lin, Ming-Yen Tsai, Po-Sheng Chang
  • Patent number: 11079338
    Abstract: In detecting the structure of a lithography mask, a portion of the lithography mask is firstly illuminated with illumination light of an at least partially coherent light source in the at least one preferred illumination direction. A diffraction image of the illuminated portion is then recorded by spatially resolved detection of a diffraction intensity of the illumination light diffracted from the illuminated portion in a detection plane. The steps of “illuminating” and “recording the diffraction image” are then carried out for further portions of the lithography mask. Between at least two portions of the lithography mask that are thereby detected, there is in each case an overlap region whose surface extent measures at least 5% or more of the smaller of the two portions of the lithography mask. The repetition takes place until the detected portions of the lithography mask completely cover a region of the lithography mask to be detected.
    Type: Grant
    Filed: June 25, 2019
    Date of Patent: August 3, 2021
    Assignee: Carl Zeiss SMT GmbH
    Inventors: Ulrich Matejka, Thomas Scheruebl, Markus Koch, Christoph Husemann, Lars Stoppe, Beat Marco Mout
  • Patent number: 11067895
    Abstract: After printing common features from a primary mask into a photoresist layer located over a substrate, a functional feature which is suitable for changing functionalities or the configurations of the common features according to a chip design is selected from a library of additional functional features in a secondary mask. The selected functional feature from the secondary mask is printed into the photoresist layer to modify the common features that already exist in the photoresist layer. The selection and printing of functional feature processes can be repeated until a final image corresponding to the chip design is obtained in the photoresist layer.
    Type: Grant
    Filed: January 13, 2017
    Date of Patent: July 20, 2021
    Assignee: International Business Machines Corporation
    Inventors: John B. Deforge, Bassem M. Hamieh, Terence B. Hook, Theresa A. Newton, Kirk D. Peterson
  • Patent number: 10884336
    Abstract: A method for fabricating a semiconductor device, includes dividing a pattern region of a desired pattern that is to be formed on a semiconductor substrate into a plurality of sub-regions; calculating combination condition including a shape of illumination light for transferring and a mask pattern obtained by correcting a partial pattern in the sub-region of the desired pattern formed on a mask used during transferring for each of the plurality of sub-regions, to make a dimension error of the partial pattern of each of the plurality of sub-regions smaller when transferred to the semiconductor substrate; and forming the desired pattern by making multiple exposures on the semiconductor substrate in such a way that the partial patterns of the sub-regions divided are sequentially transferred by transferring a pattern to the semiconductor substrate using the combination conditions calculated for each of the sub-regions.
    Type: Grant
    Filed: November 18, 2016
    Date of Patent: January 5, 2021
    Assignee: NuFlare Technology, Inc.
    Inventor: Takayuki Abe
  • Patent number: 10684552
    Abstract: Various methods are disclosed herein for reducing (or eliminating) printability of mask defects during lithography processes. An exemplary method includes performing a first lithography exposing process and a second lithography exposing process using a mask to respectively image a first set of polygons oriented substantially along a first direction and a second set of polygons oriented substantially along a second direction on a target. During the first lithography exposing process, a phase distribution of light diffracted from the mask is dynamically modulated to defocus any mask defect oriented at least partially along both the first direction and a third direction that is different than the first direction. During the second lithography exposing process, the phase distribution of light diffracted from the mask is dynamically modulated to defocus any mask defect oriented at least partially along both the second direction and a fourth direction that is different than the third direction.
    Type: Grant
    Filed: May 4, 2018
    Date of Patent: June 16, 2020
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Yen-Cheng Lu, Chia-Hao Hsu, Shinn-Sheng Yu, Chia-Chen Chen, Jeng-Horng Chen, Anthony Yen
  • Patent number: 10551743
    Abstract: A method for critical dimension control in which a substrate is received having an underlying layer and a radiation-sensitive material layer thereon. The radiation-sensitive material is exposed through a patterned mask to a first wavelength of light in the UV spectrum, and developed a first time. The radiation-sensitive material is flood exposed to a second wavelength of light different from the first wavelength of light and developed a second time to form a pattern.
    Type: Grant
    Filed: May 12, 2017
    Date of Patent: February 4, 2020
    Assignee: Tokyo Electron Limited
    Inventors: Michael A. Carcasi, Anton J. deVilliers
  • Patent number: 10409152
    Abstract: Techniques are disclosed for realizing a two-dimensional target lithography feature/pattern by decomposing (splitting) it into multiple unidirectional target features that, when aggregated, substantially (e.g., fully) represent the original target feature without leaving an unrepresented remainder (e.g., a whole-number quantity of unidirectional target features). The unidirectional target features may be arbitrarily grouped such that, within a grouping, all unidirectional target features share a common target width value. Where multiple such groupings are provided, individual groupings may or may not have the same common target width value. In some cases, a series of reticles is provided, each reticle having a mask pattern correlating to a grouping of unidirectional target features. Exposure of a photoresist material via the aggregated series of reticles substantially (e.g., fully) produces the original target feature/pattern.
    Type: Grant
    Filed: January 30, 2017
    Date of Patent: September 10, 2019
    Assignee: INTEL CORPORATION
    Inventors: Charles H. Wallace, Hossam A. Abdallah, Elliot N. Tan, Swaminathan Sivakumar, Oleg Golonzka, Robert M. Bigwood
  • Patent number: 10208104
    Abstract: The present invention provides novel methods and compositions useful for a fast and efficient chemical conjugation method of making hetero-arm polymers based on thiourea-catechol coupling. This novel conjugation method are useful in a wide variety of applications relating to the modification, ligation, and conjugation of large or small molecules to each other as well as to solid surface, including the making of adhesive materials such as hydrogels.
    Type: Grant
    Filed: November 28, 2016
    Date of Patent: February 19, 2019
    Assignee: The Chinese University of Hong Kong
    Inventors: Liming Bian, Yang Xu, Kongchang Wei, Pengchao Zhao
  • Patent number: 9746774
    Abstract: A method for mitigating shot noise in extreme ultraviolet (EUV) lithography and patterning of photo-sensitized chemically-amplified resist (PS-CAR) is described. The method includes a first EUV patterned exposure to generate a photosensitizer and a second flood exposure at a wavelength different than the wavelength of the first EUV patterned exposure, to generate acid in regions exposed during the first EUV patterned exposure, wherein the photosensitizer acts to amplify acid generation and improve contrast. The resist may be exposed to heat, liquid solvent, solvent atmosphere, or a vacuum to mitigate the effects of EUV shot noise on photosensitizer concentration which may accrue during the first EUV patterned exposure.
    Type: Grant
    Filed: February 23, 2015
    Date of Patent: August 29, 2017
    Assignee: Tokyo Electron Limited
    Inventors: Michael A. Carcasi, Mark H. Somervell
  • Patent number: 9698070
    Abstract: In various embodiments, an arrangement is provided. The arrangement may include a plurality of chips; a chip carrier carrying the plurality of chips, the chip carrier including a chip carrier notch; and encapsulation material encapsulating the chip carrier and filling the chip carrier notch; wherein the outer circumference of the encapsulation material is free from a recess.
    Type: Grant
    Filed: April 11, 2013
    Date of Patent: July 4, 2017
    Assignee: Infineon Technologies AG
    Inventors: Michael Ledutke, Edward Fuergut
  • Patent number: 9465287
    Abstract: Methods of forming a pattern in a semiconductor device structure include deprotecting an outer portion of a first photosensitive resist material, forming a second photosensitive resist material, exposing portions of the first and second photosensitive resist materials to radiation, and removing the deprotected outer portion of the first photosensitive resist material and the exposed portions of the first and second photosensitive resist materials. Additional methods include forming a first resist material over a substrate to include a first portion and a relatively thicker second portion, deprotecting substantially the entire first portion and an outer portion of the second portion while leaving an inner portion of the second portion protected, and forming a second resist material over the substrate. A portion of the second resist material is exposed to radiation, and deprotected and exposed portions of the first and second resist materials are removed.
    Type: Grant
    Filed: October 30, 2015
    Date of Patent: October 11, 2016
    Assignee: Micron Technology, Inc.
    Inventors: Scott L. Light, Yuan He, Michael A. Many, Michael Hyatt
  • Patent number: 9406499
    Abstract: A semiconductor structure includes a wafer including a first surface and a periphery, a plurality of protrusions protruded from the first surface and a plurality of recesses spaced from each other by the plurality of protrusions, and each of the plurality of recesses is extended from the periphery of the wafer and is elongated across the first surface of the wafer.
    Type: Grant
    Filed: February 12, 2014
    Date of Patent: August 2, 2016
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LTD.
    Inventors: Chen-Chun Chen, Chiu-Jung Chen, Fu-Tsun Tsai, Shiu-Ko Jangjian, Chi-Cherng Jeng, Hsin-Chi Chen
  • Patent number: 9354510
    Abstract: An extreme ultraviolet (EUV) mask can be used in lithography, such as is used in the fabrication of a semiconductor wafer. The EUV mask includes a low thermal expansion material (LTEM) substrate and a reflective multilayer (ML) disposed thereon. A capping layer is disposed on the reflective ML and a patterned absorption layer disposed on the capping layer. The pattern includes an antireflection (ARC) type pattern.
    Type: Grant
    Filed: April 4, 2014
    Date of Patent: May 31, 2016
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Ching-Fang Yu, Ting-Hao Hsu, Sheng-Chi Chin
  • Patent number: RE49180
    Abstract: A method of forming a three-dimensional object is carried out by: providing a carrier and a pool of immiscible liquid, the pool having a liquid build surface, the carrier and the liquid build surface defining a build region therebetween; filling the build region with a polymerizable liquid, wherein the immiscible liquid is immiscible with the polymerizable liquid (in some embodiments wherein the immiscible liquid has a density greater than the polymerizable liquid); irradiating the build region through at least a portion of the pool of immiscible liquid to form a solid polymer from the polymerizable liquid and advancing the carrier away from the liquid build surface to form the three-dimensional object comprised of the solid polymer from the polymerizable liquid.
    Type: Grant
    Filed: November 6, 2019
    Date of Patent: August 23, 2022
    Assignee: Carbon, Inc.
    Inventors: Lloyd M. Robeson, Edward T. Samulski, Alexander Ermoshkin, Joseph M. DeSimone