Involving Motion During Exposure, E.g., Dodging, Etc. Patents (Class 430/397)
  • Patent number: 10831096
    Abstract: Vacuum-integrated photoresist-less methods and apparatuses for forming metal hardmasks can provide sub-30 nm patterning resolution. A metal-containing (e.g., metal salt or organometallic compound) film that is sensitive to a patterning agent is deposited on a semiconductor substrate. The metal-containing film is then patterned directly (i.e., without the use of a photoresist) by exposure to the patterning agent in a vacuum ambient to form the metal mask. For example, the metal-containing film is photosensitive and the patterning is conducted using sub-30 nm wavelength optical lithography, such as EUV lithography.
    Type: Grant
    Filed: November 30, 2018
    Date of Patent: November 10, 2020
    Assignee: LAM RESEARCH CORPORATION
    Inventors: Jeffrey Marks, George Andrew Antonelli, Richard A. Gottscho, Dennis M. Hausmann, Adrien LaVoie, Thomas Joseph Knisley, Sirish K. Reddy, Bhadri N. Varadarajan, Artur Kolics
  • Patent number: 9034569
    Abstract: An extreme ultraviolet lithography (EUVL) process is performed on a target, such as a semiconductor wafer, having a photosensitive layer. The method includes providing a one-dimensional patterned mask along a first direction. The patterned mask includes a substrate including a first region and a second region, a multilayer mirror above the first and second regions, an absorption layer above the multilayer mirror in the second region, and a defect in the first region. The method further includes exposing the patterned mask by an illuminator and setting the patterned mask and the target in relative motion along the first direction while exposing the patterned mask. As a result, an accumulated exposure dose received by the target is an optimized exposure dose.
    Type: Grant
    Filed: March 13, 2014
    Date of Patent: May 19, 2015
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Yen-Cheng Lu, Shinn-Sheng Yu, Jeng-Horng Chen, Anthony Yen
  • Patent number: 8871433
    Abstract: The disclosed method for producing a microstructure can form a complicated three-dimensionally formed microstructure with few steps. A first mask pattern (22) containing a light transmitting section and a light blocking section is disposed along an unexposed photosensitive resin (42), and a second mask pattern (32) containing a light transmitting section and a light blocking section is disposed on the reverse side of the first mask pattern (22) from the photosensitive resin (42).
    Type: Grant
    Filed: October 14, 2010
    Date of Patent: October 28, 2014
    Assignees: Kyoto University, Kagawa University
    Inventors: Takaaki Suzuki, Hidetoshi Kotera, Isaku Kanno, Daisuke Hiramaru
  • Patent number: 8785888
    Abstract: A drawing apparatus accepts a selection manipulation for selecting the type of shape of a light outgoing ratio function defining a relationship between the position of modulation units included in an optical unit as seen in the direction of the arrangement of the modulation units and a light outgoing ratio from among a plurality of shape type candidates. The drawing apparatus then adjusts the light outgoing ratio of each of the modulation units in accordance with the accepted shape type. While emitting a beam of drawing light of a strip-shaped cross-sectional configuration from the optical unit, the drawing apparatus moves the optical unit relative to a substrate in a direction orthogonal to the direction of the longer dimension of the strip-shaped cross section of the drawing light beam to perform a drawing process on the substrate.
    Type: Grant
    Filed: June 7, 2013
    Date of Patent: July 22, 2014
    Assignee: Dainippon Screen Mfg. Co., Ltd.
    Inventors: Yoshinori Honjo, Makoto Uesato
  • Patent number: 8735051
    Abstract: Exposure apparatus is equipped with an illumination optical device which illuminates a mask with an exposure beam, a mask table which holds a periphery of a pattern area of the mask from above so that a pattern surface of the mask becomes substantially parallel to an XY plane and makes a force at least parallel to an XY plane and on the mask, and a wafer stage which moves along the XY plane, holding a wafer substantially parallel to the XY plane. Therefore, an overlay with high precision of a pattern of a mask and an underlying pattern on the substrate can be realized, even though the exposure apparatus employs a proximity method, that is, the exposure apparatus does not use a projection optical system.
    Type: Grant
    Filed: February 28, 2013
    Date of Patent: May 27, 2014
    Assignee: Nikon Corporation
    Inventor: Yuichi Shibazaki
  • Patent number: 8609306
    Abstract: A method for fracturing or mask data preparation for shaped beam charged particle beam lithography is disclosed, in which a square or nearly-square contact or via pattern is input, and a set of charged particle beam shots is determined which will form a circular or nearly-circular pattern on a surface, where the area of the circular or nearly-circular pattern is within a pre-determined tolerance of the area of the input square or nearly-square contact or via pattern. Methods for forming a pattern on a surface and for manufacturing a semiconductor device are also disclosed.
    Type: Grant
    Filed: December 20, 2012
    Date of Patent: December 17, 2013
    Assignee: D2S, Inc.
    Inventors: Akira Fujimura, Michael Tucker
  • Patent number: 8609326
    Abstract: The present invention is directed to a method that attenuates, if not avoids, heating of a substrate undergoing imprint lithography process and the deleterious effects associated therewith. To that end, the present invention includes a method of patterning a field of a substrate with a polymeric material that solidifies in response to actinic energy in which a sub-portion of the field is exposed sufficient to cure the polymeric material is said sub-portion followed by a blanket exposure of all of the polymeric material associated with the entire field to cure/solidify the same.
    Type: Grant
    Filed: March 13, 2008
    Date of Patent: December 17, 2013
    Assignee: Molecular Imprints, Inc.
    Inventors: Sidlgata V. Sreenivasan, Byung-Jin Choi
  • Patent number: 8501374
    Abstract: In the field of semiconductor production using shaped charged particle beam lithography, a method and system for fracturing or mask data preparation or proximity effect correction is disclosed, in which a plurality of shaped beam shots is determined which will form a target pattern on a surface, within a predetermined tolerance, where the plurality of shaped beam shots includes a plurality of circular or nearly-circular character projection (CP) shots plus one or more non-circular shot, and where at least two shots in the plurality of circular or nearly-circular shots overlap. Methods for manufacturing a surface and for manufacturing a semiconductor device on a substrate are also disclosed.
    Type: Grant
    Filed: December 21, 2012
    Date of Patent: August 6, 2013
    Assignee: D2S, Inc.
    Inventors: Akira Fujimura, Michael Tucker
  • Patent number: 8486614
    Abstract: The invention relates to a method and an imagesetter by means of which a photo material comprising a plurality of zones to be exposed individually, such as hundreds of thousands of such zones, can be done in an economical manner and particularly in acceptable exposure times. To this end, a method is provided for exposing a photo material comprising a plurality of zones to be exposed, preferably disposed regularly, particularly line by line, and having a digitally derived image, by means of which method a continuous relative motion is carried out between the photo material and the imagesetter, and the exposition of the individual zones takes place during said motion.
    Type: Grant
    Filed: December 17, 2008
    Date of Patent: July 16, 2013
    Assignee: Realeyes GmbH
    Inventors: Felix Von Laffert-Kobylinski, Wolfgang J. Riedel
  • Patent number: 8431914
    Abstract: A charged particle beam writer system is disclosed comprising a generator for a charged particle beam having a beam blur radius, wherein the beam blur radius may be varied from shot to shot, or between two or more groups of shots. A method for fracturing or mask data preparation or optical proximity correction is also disclosed comprising assigning a beam blur radius variation to each calculated charged particle beam writer shot. A method for forming a pattern on a surface is also disclosed comprising using a charged particle beam writer system and varying the beam blur radius from shot to shot. A method for manufacturing an integrated circuit using optical lithography is also disclosed, comprising using a charged particle beam writer system to form a pattern on a reticle, and varying the beam blur radius of the charged particle beam writer system from shot to shot.
    Type: Grant
    Filed: August 24, 2010
    Date of Patent: April 30, 2013
    Assignee: D2S, Inc.
    Inventors: Kazuyuki Hagiwara, Akira Fujimura
  • Patent number: 8420305
    Abstract: The present invention provides a method and an apparatus for generating periodic patterns by step-and-align interference lithography, wherein at least two coherent light beams with a pattern are controlled to project onto a substrate to be exposed to form an interference-patterned region on the substrate. Thereafter, by means of moving the substrate or the light beams stepwisely, a patterned region with a large area can be formed on the substrate. According to the present invention, the optical path and exposure time may be shortened to reduce defect formation during lithographic processing and to improve the yield.
    Type: Grant
    Filed: May 5, 2010
    Date of Patent: April 16, 2013
    Assignee: Industrial Technology Research Institute
    Inventors: Lon Wang, Yung-Pin Chen, Chih-Sheng Jao, Shuo-Hung Chang, Jer-Haur Chang
  • Patent number: 8383330
    Abstract: A belt-like work (11) provided with a photosensitive layer is conveyed in a work conveying direction F at a work conveying speed V. An illuminating section (30) illuminates a photomask (29) in an exposure period T synchronized with the work conveying speed V. The photomask (29) is disposed at a proximity gap from the belt-like work (11). Mask patterns (33) on the photomask (29) are exposed on the belt-like work (11) to form periodic patterns thereon.
    Type: Grant
    Filed: September 5, 2006
    Date of Patent: February 26, 2013
    Assignee: FUJIFILM Corporation
    Inventors: Satoshi Mino, Takeshi Fujii, Norihisa Takada
  • Patent number: 8343695
    Abstract: In the field of semiconductor production using shaped charged particle beam lithography, a method and system for fracturing or mask data preparation or proximity effect correction is disclosed, wherein a series of curvilinear character projection shots are determined for a charged particle beam writer system, such that the set of shots can form a continuous track, possibly of varying width, on a surface. In some embodiments, characteristics of the continuous track will be within a predetermined tolerance.
    Type: Grant
    Filed: October 7, 2011
    Date of Patent: January 1, 2013
    Assignee: D2S, Inc.
    Inventors: Akira Fujimura, Michael Tucker
  • Patent number: 8343716
    Abstract: A method of forming a variable pattern across a wafer using a reticle forms a plurality of first patterns on the wafer. The first pattern is repeated across the wafer and each first pattern has a first readable element. The method also forms a plurality of second patterns on the wafer. The second patterns is repeated across the wafer and each second pattern has a second readable element. The second patterns are positioned relative to the first patterns by aligning a first second pattern relative to one portion of a corresponding first pattern and then incrementally misaligning each successive second pattern in a row or a column relative to its corresponding first pattern. Thus, each corresponding first readable element and second readable element form a corresponding variable pattern.
    Type: Grant
    Filed: October 16, 2008
    Date of Patent: January 1, 2013
    Assignee: Analog Devices, Inc.
    Inventors: Lee J. Jacobson, Francis J. McNally, Zualfquar Mohammed, Robert Maher
  • Patent number: 8283094
    Abstract: In the field of semiconductor production using shaped charged particle beam lithography, a method and system for fracturing or mask data preparation or proximity effect correction is disclosed, wherein a plurality of shots of circular or nearly-circular character projection characters, having at least two shots that overlap, can form a non-circular pattern on a surface. Methods for manufacturing a reticle and for manufacturing a substrate such as a silicon wafer by forming non-circular patterns on a surface using a plurality of circular or nearly-circular character projection shots, where at least two shots overlap, is also disclosed.
    Type: Grant
    Filed: October 16, 2011
    Date of Patent: October 9, 2012
    Assignee: D2S, Inc.
    Inventors: Akira Fujimura, Michael Tucker
  • Patent number: 8222621
    Abstract: In a maskless particle multibeam processing apparatus, a particle beam is projected through a pattern definition system producing a regular array of beamlets according to a desired pattern, which is projected onto a target which moves at continuous speed along a scanning direction with respect to the pattern definition system. During a sequence of uniformly timed exposure steps the beam image is moved along with the target along the scanning direction, and between exposure steps the location of the beam image is changed with respect to the target. During each exposure step the target covers a distance greater than the mutual distance of neighboring image elements on the target.
    Type: Grant
    Filed: November 16, 2009
    Date of Patent: July 17, 2012
    Assignee: IMS Nanofabrication AG
    Inventors: Heinrich Fragner, Elmar Platzgummer, Robert Nowak, Adrian Bürli
  • Patent number: 8178280
    Abstract: A lithography method is disclosed. An exemplary lithography method includes providing an energy sensitive resist material on a substrate; providing a desired pattern; performing a lithography process on the substrate, wherein the lithography process includes exposing the energy sensitive resist material to a charged particle beam, such that the desired pattern is transferred to the energy sensitive resist material; and directing the charged particle beam from an off state to a defocus state, wherein the defocus state compensates for the backscattered energy, thereby reducing proximity effects.
    Type: Grant
    Filed: February 5, 2010
    Date of Patent: May 15, 2012
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Shih-Ming Chang, Shy-Jay Lin
  • Patent number: 8168373
    Abstract: A method for fabricating 3D microstructure is disclosed. A matching fluid is arranged between the mask and the photoresist layer. When the mask and photoresist layer perform the relative scanning and exposure process simultaneously, the matching fluid will reduce the diffraction error, so that the gap between the mask and the photoresist layer becomes more tolerable. Besides, the matching fluid also acts as a lubricant for achieving a smooth scanning process, so as to fabricate a high-precision large-area 3D optical microstructure.
    Type: Grant
    Filed: June 11, 2009
    Date of Patent: May 1, 2012
    Assignee: National Synchrotron Radiation Research Center
    Inventor: Bor-Yuan Shew
  • Publication number: 20120088196
    Abstract: An exposure apparatus includes a mask, a substrate which passes through a region disposed below the mask while moving in a first direction, a light source unit disposed above the mask, where the light source irradiates light on the substrate through the mask, and at least one blind disposed below the light source unit, where the blind blocks the light irradiated from the light source unit, where a second direction is perpendicular to the first direction in a same plane as the first direction, the blind is a polyhedron having a width, a length and a thickness and is disposed such that a direction of the length is substantially parallel to the second direction, and the blind is rotatable around a rotation axis substantially parallel to the second direction, and where the width is greater than the thickness.
    Type: Application
    Filed: March 25, 2011
    Publication date: April 12, 2012
    Applicant: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Bo-Kyoung AHN, Hong-Suk YOO, Chang-Hoon KIM
  • Patent number: 8078309
    Abstract: Disclosed herein is a method of making a three dimensional mold comprising the steps of providing a mold substrate; exposing the substrate with an electromagnetic radiation source for a period of time sufficient to render the portion of the mold substrate susceptible to a developer to produce a modified mold substrate; and developing the modified mold with one or more developing reagents to remove the portion of the mold substrate rendered susceptible to the developer from the mold substrate, to produce the mold having a desired mold shape, wherein the electromagnetic radiation source has a fixed position, and wherein during the exposing step, the mold substrate is manipulated according to a manipulation algorithm in one or more dimensions relative to the electromagnetic radiation source; and wherein the manipulation algorithm is determined using stochastic optimization computations.
    Type: Grant
    Filed: March 31, 2009
    Date of Patent: December 13, 2011
    Assignee: The United States of America as represented by the Administrator of the National Aeronautics and Space Administration
    Inventors: Francis B. Eyre, Wolfgang Fink
  • Patent number: 8057972
    Abstract: The invention relates to a method for forming a pattern on a substrate surface of a target by means of a beam of electrically charged particles in a number of exposure steps, where the beam is split into a patterned beam and there is a relative motion between the substrate and the pattern definition means. This results in an effective overall motion of the patterned particle beam over the substrate surface and exposition of image elements on the substrate surface in each exposure step, wherein the image elements on the target are exposed to the beamlets multiply, namely several times during a number of exposure steps according to a specific sequence. The sequence of exposure steps of the image elements is arranged in a non-linear manner according to a specific rule from one exposure step to the subsequent exposure step in order to reduce the current variations in the optical column of the multi-beam exposure apparatus during the exposure of the pattern.
    Type: Grant
    Filed: November 16, 2009
    Date of Patent: November 15, 2011
    Assignee: IMS Nanofabrication AG
    Inventors: Heinrich Fragner, Elmar Platzgummer, Adrian Bürli
  • Patent number: 8039176
    Abstract: In the field of semiconductor production using shaped charged particle beam lithography, a method and system for fracturing or mask data preparation or proximity effect correction is disclosed, wherein a series of curvilinear character projection shots are determined for a charged particle beam writer system, such that the set of shots can form a continuous track, possibly of varying width, on a surface. A method for forming a continuous track on a surface using a series of curvilinear character projection shots is also disclosed. Methods for manufacturing a reticle and for manufacturing a substrate such as a silicon wafer by forming a continuous track on a surface using a series of curvilinear character projection shots is also disclosed.
    Type: Grant
    Filed: November 14, 2009
    Date of Patent: October 18, 2011
    Assignee: D2S, Inc.
    Inventors: Akira Fujimura, Michael Tucker
  • Patent number: 7985514
    Abstract: In the field of semiconductor production using shaped charged particle beam lithography, a method and system for fracturing or mask data preparation or proximity effect correction is disclosed, wherein a shot determined for a shaped charged particle beam writer system comprises dragging the charged particle beam across a surface during the shot, so as to form a complex pattern in a single, extended shot. The dragging may be done with either variable shaped beam (VSB) or character projection (CP) shots. Methods for specifying in the shot data the path for the dragged shot are also disclosed. Other embodiments include using dragged shots with partial projection, varying the dragging velocity during a shot, and combining dragged shots with conventional shots. A method and system for creating glyphs which contain dragged shots is also disclosed.
    Type: Grant
    Filed: October 21, 2009
    Date of Patent: July 26, 2011
    Assignee: D2S, Inc.
    Inventors: Akira Fujimura, Harold Robert Zable, Michael Tucker
  • Patent number: 7880152
    Abstract: The invention relates to a device and a method for producing resist profiled elements. According to the invention, an electron beam lithography system is used to produce an electron beam, the axis of the beam being essentially perpendicular to a resist layer in which the resist profiled element is to be produced. The electron beam can be adjusted in terms of the electron surface dose in such a way that a non-orthogonal resist profiled element can be produced as a result of the irradiation by the electron beam.
    Type: Grant
    Filed: March 30, 2005
    Date of Patent: February 1, 2011
    Assignees: Giesecke & Devrient GmbH, Vistec Electron Beam GmbH
    Inventors: Wittich Kaule, Rainer Plontke, Ines Stolberg, Andreas Schubert, Marius Dichtl
  • Patent number: 7862985
    Abstract: A method for double patterning a thin film on a substrate is described. The method includes forming the thin film to be patterned on the substrate, forming a developable anti-reflective coating (ARC) layer on the thin film, and forming a layer of photo-resist on the ARC layer. Thereafter, the layer of photo-resist and the ARC layer are imaged with a first image pattern, and developed, thus forming the first image pattern in the ARC layer. The photo-resist is removed and another layer of photo-resist is formed on the ARC layer. Thereafter, the other layer of photo-resist and the ARC layer are imaged with a second image pattern, and developed, thus forming the second image pattern in the ARC layer. The other photo-resist layer is removed and a double patterned ARC layer remains for etching the underlying thin film.
    Type: Grant
    Filed: September 22, 2006
    Date of Patent: January 4, 2011
    Assignee: Tokyo Electron Limited
    Inventor: Shannon W. Dunn
  • Patent number: 7858293
    Abstract: A method for double patterning a thin film on a substrate is described. The method includes forming the thin film to be patterned on the substrate, forming a developable anti-reflective coating (ARC) layer on the thin film, and forming a layer of photo-resist on the ARC layer. Thereafter, the layer of photo-resist and the ARC layer are double imaged, and developed. Once the layer of photo-resist is optionally removed, a double patterned ARC layer remains for etching the underlying thin film.
    Type: Grant
    Filed: September 22, 2006
    Date of Patent: December 28, 2010
    Assignee: Tokyo Electron Limited
    Inventor: Shannon W. Dunn
  • Patent number: 7820365
    Abstract: A method to fabricate a tilted logpile photonic crystal requires only two lithographic exposures and does not require mask repositioning between exposures. The mask and photoresist-coated substrate are spaced a fixed and constant distance apart using a spacer and the stack is clamped together. The stack is then tilted at a crystallographic symmetry angle (e.g., 45 degrees) relative to the X-ray beam and rotated about the surface normal until the mask is aligned with the X-ray beam. The stack is then rotated in plane by a small stitching angle and exposed to the X-ray beam to pattern the first half of the structure. The stack is then rotated by 180° about the normal and a second exposure patterns the remaining half of the structure. The method can use commercially available DXRL scanner technology and LIGA processes to fabricate large-area, high-quality tilted logpile photonic crystals.
    Type: Grant
    Filed: July 18, 2007
    Date of Patent: October 26, 2010
    Assignee: Sandia Corporation
    Inventors: John D. Williams, William C. Sweatt
  • Patent number: 7781155
    Abstract: A method of fabricating a micro lens, the method including: forming a photo-sensitive film on a substrate; placing a photo mask at a predetermined distance from a top of the photo-sensitive film; exposing the photo-sensitive film by varying an area of exposure of the photo-sensitive film so as to selectively expose three-dimensional structures of the photo-sensitive film corresponding to desired micro lenses; and developing the photo-sensitive film such that the exposed three-dimensional structures remain. Also, there is provided a method of fabricating a master for a micro lens, in which a master material is applied on the photo-sensitive film with the three-dimensional structures to form a master having the three-dimensional structures transferred thereonto.
    Type: Grant
    Filed: December 28, 2007
    Date of Patent: August 24, 2010
    Inventors: Hyong Sik Won, Jong Myeon Lee, Myung Whun Chang
  • Patent number: 7732110
    Abstract: A method for exposing a resist layer on a substrate to an image of a pattern on a mask is disclosed whereby, after starting exposure and before completing exposure, a controlled amount of contrast loss is introduced by a controller in the image at the resist layer by changing during exposure the position of the substrate holder. The contrast loss affects the pitch dependency of the resolution of a lithographic projection apparatus, and its control is used to match pitch dependency of resolution between different lithographic projection apparatus.
    Type: Grant
    Filed: February 25, 2009
    Date of Patent: June 8, 2010
    Assignee: ASML Netherlands B.V.
    Inventors: Jozef Maria Finders, Judocus Marie Dominicus Stoeldraijer, Johannes Wilhelmus De Klerk
  • Patent number: 7670731
    Abstract: A method for improving the uniformity of a lithographic process. In one aspect, the probability density function of a first and second lithographic apparatus are matched by providing a continuous z-motion to a stage in the first lithographic apparatus during substrate exposure. Preferably, the z-motion is characterized by a normally distributed function, wherein the effective probability density function of the first apparatus is substantially similar to the probability density function of the second apparatus.
    Type: Grant
    Filed: October 18, 2006
    Date of Patent: March 2, 2010
    Assignee: ASML Netherlands B.V.
    Inventors: Jozef Maria Finders, Johannes Anna Quaedackers, Judocus Marie Dominicus Stoeldraijer, Johannes Wilhelmus De Klerk, Alexander Serebryakov
  • Patent number: 7670729
    Abstract: A measurement method for measuring a distortion of a projection optical system that projects a pattern, used by an exposure apparatus that exposes the reticle pattern onto an object to be exposed, the measurement method includes the steps of a first exposing step for exposing a mark pattern onto the object to be exposed, the mark pattern having a mark on or near an optical axis of the projection optical system and a mark beside the optical axis, and being arranged at a position of the reticle, a second exposing step for only exposing a mark on or near the optical axis of the projection optical system in the mark pattern, measuring step for measuring a shape of the mark formed on the object to be exposed via the first and second exposing steps, and calculating step for calculating the distortion of the projection optical system from the shape of the mark measured by the measuring step.
    Type: Grant
    Filed: June 1, 2005
    Date of Patent: March 2, 2010
    Assignee: Canon Kabushiki Kaisha
    Inventors: Atsushi Takagi, Hideki Ina, Koichi Sentoku, Hiroshi Morohoshi
  • Patent number: 7655368
    Abstract: A method for exposing a resist layer on a substrate to an image of a pattern on a mask is disclosed whereby, after starting exposure and before completing exposure, a controlled amount of contrast loss is introduced by a controller in the image at the resist layer by changing during exposure the position of the substrate holder. The contrast loss affects the pitch dependency of the resolution of a lithographic projection apparatus, and its control is used to match pitch dependency of resolution between different lithographic projection apparatus.
    Type: Grant
    Filed: September 9, 2004
    Date of Patent: February 2, 2010
    Assignee: ASML Netherlands B.V.
    Inventors: Jozef Maria Finders, Judocus Marie Dominicus Stoeldraijer, Johannes Wilhelmus De Klerk
  • Patent number: 7527917
    Abstract: For each of pattern projection regions, a mask and a substrate are moved in synchronization with each other in an X direction while projecting a portion of a mask pattern through an optical projection system onto a portion of the pattern projection region. An exposure region is thereby shifted from one of two ends of the pattern projection region toward the other end, the two ends being opposed to each other in the X direction. If the pattern projection region is a peripheral projection region that has a portion located outside the edge of the substrate, of the two ends of the region opposed to each other in the X direction, the exposure region is shifted from the one end that is greater in length of a portion laid over the substrate toward the other end.
    Type: Grant
    Filed: July 28, 2005
    Date of Patent: May 5, 2009
    Assignee: TDK Corporation
    Inventors: Akifumi Kamijima, Hitoshi Hatate
  • Patent number: 7442477
    Abstract: An exposing apparatus for irradiating desired spots on a substrate to be exposed relatively moving with respect to two or more light sources arranged along the direction of the relative movement to form a desired exposure pattern using the light sources comprises a control means for controlling the turning-on of only specific light sources out of the two or more light sources at a specific timing.
    Type: Grant
    Filed: March 26, 2004
    Date of Patent: October 28, 2008
    Assignee: Shinko Electric Industries Co., Ltd.
    Inventors: Kazunari Sekigawa, Masatoshi Akagawa
  • Patent number: 7390614
    Abstract: A lithographic projection apparatus includes an alignment sensor having an electron beam source constructed and arranged to provide an electron beam for impinging on an alignment marker on a substrate, and a back-scattered electron detector constructed and arranged to detect electrons back-scattered from the alignment marker. The alignment sensor is independent of the projection system and projection radiation, and is an off-axis alignment sensor.
    Type: Grant
    Filed: March 29, 2005
    Date of Patent: June 24, 2008
    Assignee: ASML Netherlands B.V.
    Inventors: Johannes G. Gijsbertsen, Pieter W. H. De Jager, Michiel D. Nijkerk
  • Patent number: 7387871
    Abstract: A method for creating a pattern on an exposure site of a material blank using an exposure apparatus includes providing a mask having a first mask pattern. The mask is positioned between the exposure apparatus and the material blank. The exposure site of the material blank is exposed. One or more additional exposure events are performed for patterning the exposure site of the material blank. Between each exposure event, the exposure site of the material blank is repositioned in a lateral direction with respect to the mask. Between successive exposure events involving the first mask pattern, there is a relative movement between the mask and the material blank of a distance less than or equal to a length of the first mask pattern.
    Type: Grant
    Filed: August 26, 2004
    Date of Patent: June 17, 2008
    Assignee: Seagate Technology LLC
    Inventors: Jianxin Zhu, Lance Eugene Stover
  • Publication number: 20080057440
    Abstract: An immersion lithographic apparatus is disclosed in which at least a part of the liquid supply system (which provides liquid between the projection system and the substrate) is moveable in a plane substantially parallel to a top surface of the substrate during scanning. The part is moved to reduce the relative velocity between that part and the substrate so that the speed at which the substrate may be moved relative to the projection system may be increased.
    Type: Application
    Filed: August 30, 2006
    Publication date: March 6, 2008
    Applicant: ASML NETHERLANDS B.V.
    Inventors: Johannes Catharinus Hubertus Mulkens, Robert-Han Munnig Schmidt
  • Patent number: 7318997
    Abstract: Disclosed are an exposure apparatus for forming fine patterns of a semiconductor using an electric field and a method for forming fine patterns using the exposure apparatus. The exposure apparatus comprises an electric field generator for generating an electric field to be applied to infiltrate an acid (H+) produced when a photoresist film is exposed into non-exposure regions. Non-exposure regions into which an acid is infiltrated along with exposure regions in a development process can be removed by applying an electric field to the acid produced during exposure of a photoresist film such that the acid is infiltrated into the non-exposure regions.
    Type: Grant
    Filed: September 27, 2004
    Date of Patent: January 15, 2008
    Assignee: Dongbu Electronics Co., Ltd.
    Inventors: Hyung-Won Kim, Seung-Won Baek
  • Patent number: 7090966
    Abstract: A process of providing a hydrophobic property to the surface of a plate, and a process of providing a hydrophilic property to the surface by irradiating energy light (radiation) on the surface of the plate, which is provided with the hydrophobic property are provided. Variations in the accumulated illumination intensity of radiation on the surface of the plate are controlled to 20% or less.
    Type: Grant
    Filed: March 25, 2004
    Date of Patent: August 15, 2006
    Assignee: Seiko Epson Corporation
    Inventors: Toshimitsu Hirai, Hironori Hasei
  • Patent number: 7045275
    Abstract: This invention relates to a multi-layer lithographically fabricated device used to produce improved thin-film recording heads. It further relates to a focused particle beam system for milling a recording head pole-tip assembly without irradiating a sensitive structure, e.g. a read head, of the recording head. The invention precisely forms a pole-tip assembly by milling a second structural element without irradiating a first structural element. The invention avoids irradiating the first structural element by placing a first marker element, which can be imaged and/or damaged, in the same layer of a multi-layer lithographically fabricated device as the first structural element. The marker element has a fixed spatial relationship to the first structural element.
    Type: Grant
    Filed: June 16, 2003
    Date of Patent: May 16, 2006
    Assignee: FEI Company
    Inventors: Randall Grafton Lee, Charles J. Libby
  • Patent number: 6893806
    Abstract: A method for manufacturing a semiconductor wafer uses a reticle having a plurality of spaced apart circuit images of identical patterns or images of a common level of a single integrated circuit formed on the reticle and arranged in columns and rows about its central point. At least one column of spaced apart test images are formed outside of and adjacent an outermost column of circuit images. Radiation is projected through the reticle for exposing the patterns on the reticle onto an underlying wafer. A reticle holder having a pair of shutter elements aligned parallel to the columns of images selectively blocks the projection of radiation through the columns of the test images but are exposed in order to form test circuits on the wafer at selected locations.
    Type: Grant
    Filed: August 15, 2002
    Date of Patent: May 17, 2005
    Assignee: Agere Systems, Inc.
    Inventors: Cheryl Anne Bollinger, Seungmoo Choi, William T. Cochran, Stephen Arlon Meisner, Daniel Mark Wroge, Gerard Zaneski
  • Patent number: 6841340
    Abstract: A highly accurate structure is optically fabricated simply and in a short time. Rough optical fabrication using an ultraviolet-irradiation optical fabrication process is carried out for a photo-curing resin by emission of a laser beam from a first light source, and thereafter, fine optical fabrication using a two-photon absorption optical fabrication process is carried out by emission of a laser beam from a second light source. As a result, it is possible to realize optical fabrication which allows fabrication of a fine structure using a two-photon absorption optical fabrication process while realizing high speed processing using the ultraviolet-irradiation optical fabrication process.
    Type: Grant
    Filed: July 12, 2002
    Date of Patent: January 11, 2005
    Assignee: Fuji Photo Film Co., Ltd.
    Inventor: Takeharu Tani
  • Patent number: 6811953
    Abstract: The memory unit stores the correlation of the positional change in the image planes of the projection optical modules in the focusing direction and the light quantity change. The image plane position determination unit finds the positional change value of the image planes of the projection optical modules based on the correlation information that is stored in the memory unit and the information on changes in the amount of light that is emitted to the projection optical modules. The compensation value calculating unit calculates the compensation value corresponding to the change in the amount of curvature in the image planes of the projection optical modules. The compensating unit compensates the change value in conformity with the compensation value. The focus compensation optical system is driven based on the change value that is compensated.
    Type: Grant
    Filed: May 22, 2001
    Date of Patent: November 2, 2004
    Assignee: Nikon Corporation
    Inventors: Hitoshi Hatada, Masaki Kato, Motoo Koyama, Hiroshi Shirasu, Masahiro Iguchi
  • Publication number: 20040197683
    Abstract: An exposing apparatus for irradiating desired spots on a substrate to be exposed relatively moving with respect to two or more light sources arranged along the direction of the relative movement to form a desired exposure pattern using the light sources comprises a control means for controlling the turning-on of only specific light sources out of the two or more light sources at a specific timing.
    Type: Application
    Filed: March 26, 2004
    Publication date: October 7, 2004
    Applicant: SHINKO ELECTRIC INDUSTRIES CO., LTD.
    Inventors: Kazunari Sekigawa, Masatoshi Akagawa
  • Patent number: 6800421
    Abstract: In order to shorten the time needed for fabricating semiconductor integrated circuit devices, a wafer is exposed while a chip area with defects of a mask is covered with a masking blade for light shielding.
    Type: Grant
    Filed: November 30, 2001
    Date of Patent: October 5, 2004
    Assignee: Renesas Technology Corp.
    Inventors: Norio Hasegawa, Toshihiko Tanaka
  • Patent number: 6790598
    Abstract: Methods of patterning resists and structures including the patterned resists are disclosed. A patterned, multi-transmissive mask is used during patterning of resists to control exposure at areas of the resist at which features having different detail are desired. Exposure is varied in more finely patterned and more grossly patterned areas of the resist. The patterned resists have a high degree of topographical uniformity.
    Type: Grant
    Filed: January 16, 2002
    Date of Patent: September 14, 2004
    Assignee: Xerox Corporation
    Inventors: Cathie J. Burke, Diane Atkinson, Mildred Calistri-Yeh
  • Publication number: 20040175658
    Abstract: The present invention describes a method for fabricating an embossing tool or an x-ray mask tool, providing microstructures that smoothly vary in height from point-to-point in etched substrates, i.e., structure which can vary in all three dimensions. The process uses a lithographic technique to transfer an image pattern in the surface of a silicon wafer by exposing and developing the resist and then etching the silicon substrate. Importantly, the photoresist is variably exposed so that when developed some of the resist layer remains. The remaining undeveloped resist acts as an etchant barrier to the reactive plasma used to etch the silicon substrate and therefore provides the ability etch structures of variable depths.
    Type: Application
    Filed: March 19, 2004
    Publication date: September 9, 2004
    Inventors: Alfredo M. Morales, Marcela Gonzales
  • Patent number: 6774985
    Abstract: An apparatus for imprinting a stent configuration image from a mask form onto a tubular stent blank. The stent blank has a longitudinal axis and a photosensitive coating on the outer surface thereof. The apparatus includes a journaling mechanism adapted to receive the stent blank, and operable to rotate the stent blank about its longitudinal axis; a radiation source operable to direct light at a first surface region of the stent blank when mounted in the journaling mechanism, to thereby expose the photosensitive coating on said first surface region of the stent blank; and a mask form movement mechanism configured to mount the mask form in the apparatus and to move the mask form along a path in which the mask form contacts the stent blank in said first surface region thereof along a concurrent convex arcuate segment.
    Type: Grant
    Filed: February 10, 2003
    Date of Patent: August 10, 2004
    Assignee: SciMed Life Systems, Inc.
    Inventor: Thomas Trozera
  • Publication number: 20040115564
    Abstract: The invention includes a photolithographic method in which overlapping first and second exposure patterns are formed on a photosensitive material from light passed through a single reticle. The first exposure pattern of the radiation comprises features separated by about a minimum feature spacing that can be accomplished with a single reticle exposure at the time of the photolithographic processing, and the overlapping first and second patterns comprise features separated by less than the minimum feature spacing. The invention also includes a photolithographic method of forming overlapping exposure patterns on a photosensitive material from light passed through a single reticle wherein the reticle is moved between a first exposure to a first light and a second exposure to a second light.
    Type: Application
    Filed: December 5, 2003
    Publication date: June 17, 2004
    Inventors: John F. Van Itallie, Erik Byers
  • Publication number: 20040081920
    Abstract: A method for providing self-aligned contact pads along an edge face of stacked electronics utilizes reflected light from the metal contact leads to define their location. A thin layer of light sensitive polymer is applied over the edge face, at which incident light is directed. A solvent developer is applied which dissolves the polymer where the reflected light passed. In a two-pass technique reflected light destroys an inhibitor in the polymer, and that portion of the layer is dissolved by a developer to delineate voids for contact pads. In a wavefront cancellation technique reflected light is 180° out of phase with the first pass of light, the combination not cross-linking molecules in the polymer, and that portion of the layer is dissolved to delineate voids for contact pads.
    Type: Application
    Filed: October 22, 2002
    Publication date: April 29, 2004
    Inventor: Stewart A. Clark