Involving Motion During Exposure, E.g., Dodging, Etc. Patents (Class 430/397)
  • Patent number: 5994003
    Abstract: A scanning exposure method includes steps of relatively scanning a mask and a wafer relative to exposure light of a slit beam, to transfer a pattern of the mask onto the wafer and applying a relative speed between the mask and the wafer in a scan direction, during the scan exposure in one shot area, wherein the relative speed is changed in accordance with thermal distortion of the mask pattern caused during the scan exposure.
    Type: Grant
    Filed: September 23, 1997
    Date of Patent: November 30, 1999
    Assignee: Canon Kabushiki Kaisha
    Inventors: Shinichi Hara, Takeshi Miyachi
  • Patent number: 5965329
    Abstract: A developing agent bearing member is manufactured such that a base is prepared, and a photosensitive layer is formed on the base. Then, the photosensitive resist layer is exposed with a pattern, and an uneven portion is formed on a surface of the developing agent bearing member by etching the pattern-exposed photosensitive resist layer.
    Type: Grant
    Filed: August 1, 1997
    Date of Patent: October 12, 1999
    Assignee: Canon Kabushiki Kaisha
    Inventors: Takashi Sakaki, Kazushige Nishiyama
  • Patent number: 5905020
    Abstract: A method and apparatus for printing vertically and horizontally aligned features having reduced, substantially equal, critical dimensions on a photoresist-coated semiconductor wafer are disclosed. Radiant energy is passed through a pattern transfer tool to irradiate a first region of the wafer when the wafer is at a first position relative to the pattern transfer tool. The wafer is then positioned at a second position relative to the pattern transfer tool offset from the first position by a first distance along an axis aligned with the horizontal features and by a second distance along an axis aligned with the vertical features. The second distance is different from the first distance by a compensation distance. Radiant energy is then passed through the pattern transfer tool to irradiate a second region of the wafer region defining a second side of each of the horizontally and vertically aligned features.
    Type: Grant
    Filed: December 20, 1996
    Date of Patent: May 18, 1999
    Assignee: Intel Corporation
    Inventors: Bernie B. Hu, Robert F. Hainsey, Jeffrey G. Lewis
  • Patent number: 5897986
    Abstract: A large-format substrate patterning system, for microelectronics manufacturing, utilizes a substrate docking fixture to enable relative motion between the substrate stage and the substrate. This enables exposure of a large-format substrate which has been partitioned into different modules where each module contains an entire pattern transferred from a mask. This projection system enables patterning of a large multi-module substrate using a stage whose range of travel is smaller than the size of the substrate and using a mask whose area is smaller than the size of the substrate. This is accomplished by repositioning the substrate to expose each module sequentially. In order to reposition the substrate, its location is maintained fixed in space by a substrate docking fixture while the movable stage of the lithography system is repositioned to position a different module of the substrate in the image field of the lithography tool.
    Type: Grant
    Filed: May 28, 1997
    Date of Patent: April 27, 1999
    Assignee: Anvik Corporation
    Inventors: Thomas J. Dunn, Nestor O. Farmiga, Kanti Jain
  • Patent number: 5863712
    Abstract: The pattern forming method is capable of forming a projected image or photoresist pattern that is faithful to a designed pattern without performing complex correction of a mask pattern. A projection exposure system and a semiconductor device fabrication method employing this pattern forming method are also disclosed. Exposure is performed by setting a pupil filter with a variable amplitude transmittance to the pupil position of the projection lens of a projection exposure system. The pupil filter has a transparent substrate, a phase retarding layer, a translucent film, and an opaque stencil. The pupil filter is replaced or rotated during either a plurality of exposures or during a single exposure onto the same position on the surface of a wafer substrate that is to be exposed. It is possible to improve the fidelity of the contour of a pattern transferred image without improving the numerical aperture (NA) of a projection lens, decreasing the wavelength of exposure light, or locally correcting a mask pattern.
    Type: Grant
    Filed: January 6, 1997
    Date of Patent: January 26, 1999
    Assignee: Hitachi, Ltd.
    Inventors: Rudolf Murai Von Bunau, Hiroshi Fukuda
  • Patent number: 5851740
    Abstract: When laser light is transmitted by or reflected by a diffuser onto an object being illuminated, the object is not evenly illuminated, but is speckled with large speckles.The invention uses a moving diffuser which reduces the sizes of the speckles when the object is visually observed, and eliminates the speckles when a photographic film or plate is located at the object position and records the laser light.
    Type: Grant
    Filed: May 8, 1995
    Date of Patent: December 22, 1998
    Inventor: George M. Sawyer
  • Patent number: 5849468
    Abstract: When laser light is transmitted by or is reflected by a diffuser onto an object being illuminated, the object is not evenly illuminated, but is speckled with large speckles.The invention uses a moving diffuser which reduces the sizes of the speckles when the object is visually observed, and eliminates the speckles when a photographic film or plate is located at the object position and records the laser light.
    Type: Grant
    Filed: May 8, 1995
    Date of Patent: December 15, 1998
    Inventor: George M. Sawyer
  • Patent number: 5846678
    Abstract: An exposure apparatus for transferring, by exposure, a pattern of a mask onto a wafer, includes a pulse light source and a scanning system for relatively and scanningly moving the mask and the wafer relative to an illumination region to be defined by pulses of light to be sequentially provided by the pulse light source, wherein the number of pulses for exposure of the wafer is determined on the basis of information representing a relation between the pulse number and exposure non-uniformness, and wherein the pulse number is expressed by L/.DELTA.X where L is the width of the illumination region in a scan direction and .DELTA.X is the amount of relative displacement of the illumination region moving in the light emission interval of one pulse.
    Type: Grant
    Filed: December 21, 1995
    Date of Patent: December 8, 1998
    Assignee: Canon Kabushiki Kaisha
    Inventors: Hidetoshi Nishigori, Naoto Sano
  • Patent number: 5728495
    Abstract: A scanning exposure method is arranged to illuminate a mask with light pulses and to synchronously scan the mask and a photosensitive substrate so as to effect scanning exposure of a pattern image of the mask on the photosensitive substrate, and comprises detecting energy amounts of light pulses illuminating the mask during the scanning exposure, successively calculating an integrated amount of light of the last N pulses (N is an integer more than one) for every unit pulse number, based on the energy amounts thus detected and adjusting an exposure dose on the photosensitive substrate in accordance with a sequence of integrated amounts of light thus calculated.
    Type: Grant
    Filed: December 1, 1995
    Date of Patent: March 17, 1998
    Assignee: Nikon Corporation
    Inventor: Ken Ozawa
  • Patent number: 5705321
    Abstract: Multiple-exposure fine-line interferometric lithography, combined with conventional optical lithography, is used in a sequence of steps to define arrays of complex, nm-scale structures in a photoresist layer. Nonlinearities in the develop, mask etch, and Si etch processes are used to modify the characteristics and further reduce the scale of the structures. Local curvature dependent oxidation provides an additional flexibility. Electrical contact to the quantum structures is achieved. Uniform arrays of Si structures, including quantum wires and quantum dots, are produced that have structure dimensions on the scale of electronic wave functions. Applications include enhanced optical interactions with quantum structured Si, including optical emission and lasing and novel electronic devices based on the fundamentally altered electronic properties of these materials. All of the process sequences involve parallel processing steps to make large fields of these quantum structures.
    Type: Grant
    Filed: June 6, 1995
    Date of Patent: January 6, 1998
    Assignee: The University of New Mexico
    Inventors: Steven R. J. Brueck, An-Shyang Chu, Bruce L. Draper, Saleem H. Zaidi
  • Patent number: 5695894
    Abstract: An apparatus is disclosed for changing the scale of a pattern printed from a total internal reflection hologram into a photosensitive layer, which apparatus includes means for reconstructing an image from said total internal reflection hologram by illuminating said total internal reflection hologram with a scanning beam and means for moving at least one of the hologram and photosensitive layer such that the magnitude of movement of the hologram relative to the photosensitive layer is equal to that of the scanning beam multiplied by the change of scale required and such that if said change of scale is a magnification the direction of said movement of the hologram relative to the photosensitive layer is the same as that of the scanning beam whereas if said change of scale is a demagnification then the direction of said movement of the hologram relative to the photosensitive layer is opposite to that of the scanning beam, and optionally comprising also means for increasing or reducing the convergence or divergen
    Type: Grant
    Filed: December 2, 1996
    Date of Patent: December 9, 1997
    Assignee: Holtronic Technologies Ltd.
    Inventor: Francis Stace Murray Clube
  • Patent number: 5679502
    Abstract: An X-ray source such as a synchrotron which provides a significant spectral content of hard X-rays is used to expose relatively thick photoresist such that the portions of the photoresist at an exit surface receive at least a threshold dose sufficient to render the photoresist susceptible to a developer, while the entrance surface of the photoresist receives an exposure which does not exceed a power limit at which destructive disruption of the photoresist would occur. The X-ray beam is spectrally shaped to substantially eliminate lower energy photons while allowing a substantial flux of higher energy photons to pass through to the photoresist target. Filters and the substrate of the X-ray mask may be used to spectrally shape the X-ray beam. Machining of photoresists such as polymethylmethacrylate to micron tolerances may be obtained to depths of several centimeters, and multiple targets may be exposed simultaneously.
    Type: Grant
    Filed: March 15, 1995
    Date of Patent: October 21, 1997
    Assignee: Wisconsin Alumni Research Foundation
    Inventors: David Peter Siddons, Erik D. Johnson, Henry Guckel, Jonathan L. Klein
  • Patent number: 5640257
    Abstract: A method for the manufacture of TIR holograms includes the division of an input laser beam into an object beam and a reference beam, the direction of the beams to a holographic recording layer so that the object beam is incident on a surface of the holographic recording layer following transmission through an object mask, so that the reference beam is incident on the other surface of the holographic recording layer at an angle such that following passage through the holographic recording layer it is totally internally reflected back into the holographic recording layer and so that the two beams are superposed at the holographic recording layer, and the displacement of the input laser beam causing the object and reference beams to traverse together the holographic recording layer. The method is especially useful for obtaining a high uniformity of exposure of the holographic recording layer.
    Type: Grant
    Filed: August 25, 1995
    Date of Patent: June 17, 1997
    Assignee: Holtronic Technologies Ltd.
    Inventor: Francis S. M. Clube
  • Patent number: 5635321
    Abstract: A modulated writing light beam (18) having a wavelength to which an imaging element (33) is sensitive and a reference light beam (46) having a wavelength to which the imaging element (33) is insensitive are generated and simultaneously directed to a moving optical device (22) to cause the writing light beam (18) to scan across the surface of the imaging element (33) in a first scanning direction (C) and the reference light beam (46) to scan across the surface of a light detecting element (50) in the first scanning direction (C) to generate signals indicative of the position of the writing light beam (18) on the surface of the imaging element (33). The writing light beam (18) is modulated and the imaging element (33) is moved in a second scanning direction (B) in response to the signals generated by the light detecting element (50). Thereafter the exposed imaging element (33) is processed to prepare a lithographic printing plate.
    Type: Grant
    Filed: November 7, 1995
    Date of Patent: June 3, 1997
    Assignee: Agfa-Gevaert N.V.
    Inventors: Johan Van Hunsel, Jacobus Bosschaerts
  • Patent number: 5620814
    Abstract: The object of a process and an arrangement for producing dose profiles for the fabrication of structured surfaces with a beam which is used for exposure and is directed on the surface consists in arranging the surface irradiation in such a way that the processing times and material outlay required for fabrication of micro-lenses and micro-lens arrays can be substantially reduced. According to the invention, the beam has at least one shaped region in cross section, which shaped region is movable relative to the surface and whose extent in the movement direction of the relative movement, in combination with the velocity of the relative movement, determines the dose. Effective lithographic fabrication of lens structures, in particular micro-lenses and micro-lens arrays, can be realized with the invention.
    Type: Grant
    Filed: May 26, 1995
    Date of Patent: April 15, 1997
    Assignee: Leica Lithographie Systeme Jena GmbH
    Inventor: Ernst-Bernhard Kley
  • Patent number: 5593800
    Abstract: A mask manufacturing method includes holding through a first stage a master reticle having a pattern, holding through a second stage a mask substrate, projecting an exposure beam to the pattern of the master reticle held by the first stage, and projecting the pattern onto the mask substrate held by the second stage at a predetermined reduction magnification, and scanning the first and second stages in a timed relation and at a predetermined speed ratio, whereby the pattern of the master reticle is transferred to the mask substrate.
    Type: Grant
    Filed: January 3, 1995
    Date of Patent: January 14, 1997
    Assignee: Canon Kabushiki Kaisha
    Inventors: Hidehiko Fujioka, Noriyuki Nose, Ryuichi Ebinuma, Shinichi Hara, Hiroshi Maehara
  • Patent number: 5578421
    Abstract: A semitransparent phase shifting mask has, in the periphery of a pattern element area, a light shielding portion which is formed by a semitransparent phase shifting portion and a transparent portion with the optimal size combination. A pattern is formed employing the semitransparent phase shifting mask.
    Type: Grant
    Filed: April 7, 1995
    Date of Patent: November 26, 1996
    Assignee: Hitachi, Ltd.
    Inventors: Norio Hasegawa, Fumio Murai, Katsuya Hayano
  • Patent number: 5573877
    Abstract: An exposure method for irradiating a mask from above the mask held in proximity to a substrate positioned below the mask to transfer a mask pattern of the mask to a photosensitive layer of the substrate by exposing the photosensitive layer to a light beam, includes the steps of using a gap-measuring device to measure a gap between a portion of the mask to be locally scanned and irradiated and a portion of the substrate to be locally irradiated, comparing a value measured by the gap-measuring device with a preset value, and locally deforming the mask and/or the substrate according to a difference between the value measured by the gap-measuring device and the preset value so as to cause the gap to approach a predetermined value.
    Type: Grant
    Filed: March 15, 1995
    Date of Patent: November 12, 1996
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Takashi Inoue, Hiroyuki Nagano, Yoshimichi Ishii
  • Patent number: 5503959
    Abstract: A method of forming a patterned resist layer on a semiconductor substrate is described. The substrate is coated with a resist layer and placed on a substrate stage within a projection printer. The projection printer includes a radiation source that emits a radiation wave having a predetermined wavelength, a lens having a predetermined numerical aperture, and a reticle having an opaque section and a transparent section. The projection printer has a resolution that is a function of the wavelength and the numerical aperture. The resist layer is exposed to a radiation pattern formed at the surface of the resist layer when the radiation wave passes through the reticle. The radiation pattern includes a radiative area lying beneath the transparent section and a substantially radiation-free area lying beneath the opaque section. All dimensions of the radiation pattern at the surface of the resist layer are no less than the resolution.
    Type: Grant
    Filed: April 13, 1993
    Date of Patent: April 2, 1996
    Assignee: Intel Corporation
    Inventor: Joseph C. Langston
  • Patent number: 5476736
    Abstract: A projection exposure method in which a mask pattern containing both an isolated geometrical shape and closely arranged geometrical shapes can be improved in depth of focus. A central part in cross section of a beam of light is darkened prior to illumination of a mask. The beam of light thus darkened is projected to a photoresist film formed on a substrate through the mask and an optical projection system to carry out a first exposure. Next, at least one of the photoresist film and the optical projection system is relatively moved along an optical axis of the optical projection system, and then, the darkened beam of light is projected again to the photoresist film through the mask and the optical projection system to carry out a second exposure at a different position from that in the first exposure. A surface of the photoresist film is not in accordance with a focal plane of the optical projection system during the first and second exposures, respectively.
    Type: Grant
    Filed: February 24, 1994
    Date of Patent: December 19, 1995
    Assignee: NEC Corporation
    Inventor: Hiroyoshi Tanabe
  • Patent number: 5459003
    Abstract: A method of forming side surfaces of photosensitive material removed areas is performed so that the side surfaces are inclined to the surface of a substrate when transferring a pattern formed on a mask onto the substrate coated with a photosensitive material and effecting a developing process on the substrate. An image of the pattern to be transferred onto the substrate and the substrate are relatively shifted in a direction along the surface of the substrate during the transfer.
    Type: Grant
    Filed: December 6, 1994
    Date of Patent: October 17, 1995
    Assignee: Nikon Corporation
    Inventor: Kazuya Ota
  • Patent number: 5443932
    Abstract: An exposure method includes disposing a mask and a semiconductor wafer opposed to each other in a close proximity relation with respect to a Z-axis direction and printing a pattern of the mask on each of different shot areas of the semiconductor wafer in a step-and-repeat manner, with a predetermined exposure energy. In this method, the spacing between the mask and the wafer for the paralleling of them is made larger than the spacing therebetween as assumed at the time of mask-to-wafer alignment with respect to X-Y plane or the spacing between the mask and the wafer as assumed at the time of exposure of the wafer to the mask. After the paralleling of the mask and the wafer, the mask and the wafer are moved closer to each other in the Z-axis direction and alignment and exposure is performed. This ensures that the alignment and exposure are effected at an optimum spacing while, on the other hand, contact of the mask and the wafer at the time of paralleling is precluded.
    Type: Grant
    Filed: December 3, 1993
    Date of Patent: August 22, 1995
    Assignee: Canon Kabushiki Kaisha
    Inventors: Hirohisa Ohta, Kunitaka Ozawa, Eigo Kawakami, Shunichi Uzawa
  • Patent number: 5434026
    Abstract: In a method for measuring a condition for exposing, at a predetermined energy quantity, a pattern formed on a mask onto a photosensitive substrate on which a resist image is formed on the surface thereof by using an exposing device, first patterns formed at a plurality of positions on the mask are successively exposed onto a plurality of partial regions on the photosensitive substrate while changing said exposure condition. Second patterns are, with overlapping, exposed onto at least a portion of the latent image of said first patterns formed in said partial regions due to said process while changing the exposure condition. A predetermined state where the resist image is formed on the resist layer after the development is detected so that the exposure condition is measured in accordance with the state.
    Type: Grant
    Filed: December 11, 1992
    Date of Patent: July 18, 1995
    Assignee: Nikon Corporation
    Inventors: Norihiko Takatsu, Kyoichi Suwa, Shinichi Nakamura, Hiroaki Hosokawa, Shigeru Hirukawa
  • Patent number: 5415835
    Abstract: In microelectronic processing, the method of producing complex, two-dimensional patterns on a photosensitive layer with dimensions in the extreme submicron range. A photosensitive layer is first exposed to two beams of coherent radiation to form an image of a first interference pattern on the surface of the layer. The layer is subsequently exposed to one or more interference pattern(s) that differ from the first interference pattern in some way, such as by varying the incident angle of the beams, the optical intensity, the periodicity, rotational orientation, translational position, by using complex amplitude or phase masks in one or both of the coherent beams, or a combination of the above. Desired regions of the complex pattern thus produced are isolated with a further exposure of the photosensitive layer using any conventional lithography.
    Type: Grant
    Filed: September 16, 1992
    Date of Patent: May 16, 1995
    Assignee: University of New Mexico
    Inventors: Steven R. J. Brueck, Saleem Zaidi, An-Shyang Chu
  • Patent number: 5407785
    Abstract: Ultra-small equal-width lines and spaces are generated on an integrated circuit wafer using multiple exposures and phase-shifting at the wafer level. In particular, an integrated circuit wafer is coated with a layer of photoresist and then masked using a mask defining a pattern of multiple feature lines arranged at a regular line pitch. The layer of photoresist is then underexposed so as to partially bleach portions of the layer of photoresist in accordance with the pattern. Next, the mask and the integrated circuit wafer are positionally translated relative to one another by a predetermined fraction of the line pitch, and the layer of photoresist is then again underexposed. Developing the photoresist layer creates a stepped profile. The layer of photoresist is then blanket exposed, the stepped profile causing exposure in the vicinity of steps to be retarded. The layer of photoresist is then developed, producing thin lines of photoresist separated by substantially equal spaces of no photoresist.
    Type: Grant
    Filed: December 18, 1992
    Date of Patent: April 18, 1995
    Assignee: VLSI Technology, Inc.
    Inventor: Pierre Leroux
  • Patent number: 5405733
    Abstract: A method for fabricating liquid crystal shutters using a laser exposure system. An output beam from the laser is split into multiple exposure beams and a photoresist coated substrate having a metallic layer is caused to traverse the beams. The substrate is then processed leaving multiple parallel electrodes on the substrate surface.
    Type: Grant
    Filed: November 12, 1993
    Date of Patent: April 11, 1995
    Assignee: Apple Computer, Inc.
    Inventors: Eric R. Sirkin, Joseph J. Curry
  • Patent number: 5342738
    Abstract: Disclosed is herein a method of developing an exposed resist film formed over a major surface of a substrate, the method comprising: holding the substrate carrying the resist film in contact with the supporting surface of a supporting member, having an area smaller than the surface area of the substrate, and supplying a developer onto the resist film so that the developer is retained in a developer puddle by surface tension on the surface of the resist film to develop the resist film, wherein the substrate carrying the resist film is separated from the supporting member during the progress of the development of the resist film.
    Type: Grant
    Filed: June 4, 1992
    Date of Patent: August 30, 1994
    Assignee: Sony Corporation
    Inventor: Rikio Ikeda
  • Patent number: 5330878
    Abstract: A device for patterning an imaging member (46) is provided. The device comprises a light source (24) which emits light rays (26). Light rays (26) pass through a collimator lens (28) to collimate the light rays (30). The light then strikes a spatial light modulator (32) which is controlled by a computer (40) to reflect the light (42). The light passes through an imaging lens (44) to magnify the pattern for striking imaging member (46). Imaging member (46) is thus patterned by changing modulator (32) by computer (40).
    Type: Grant
    Filed: April 14, 1993
    Date of Patent: July 19, 1994
    Assignee: Texas Instruments Incorporated
    Inventor: William E. Nelson
  • Patent number: 5316897
    Abstract: A method and apparatus for exposing a substrate of relatively large surface area to radiation according to a predetermined pattern recorded on a mask, particularly useful in applying a painted border to an automobile windshield, includes the steps of progressively recording the predetermined pattern on a mask in the form of a continuous strip, and moving the radiation source to progressively scan the surface of the substrate with radiation, while at the same time moving the continuous strip mask relative to the radiation source, such that portions of the pattern on the continuous strip mask progressively become aligned with their corresponding portions of the substrate as the substrate is progressively scanned by the radiation source.
    Type: Grant
    Filed: September 17, 1991
    Date of Patent: May 31, 1994
    Assignee: Tamglass OY
    Inventor: Aaron Shafir
  • Patent number: 5266445
    Abstract: A method of forming a patterned resist layer on a semiconductor substrate is described. The substrate is coated with a resist layer and placed on a substrate stage in a lithographic printer. The lithographic printer includes a pulsed radiation source that emits a radiation pulse lasting a pulse time and has a recovery time between two consecutive radiation pulses. The printer has a reticle disposed between the radiation source and the resist layer. The substrate is aligned to the reticle. A stepping field of the resist layer is patterned using a plurality of radiation pulses during multiple passes of the reticle over the stepping field. The substrate moves relative to the reticle at a predetermined velocity during each of the radiation pulses. The substrate motion relative to the reticle is configured so that the radiation source emits a radiation pulse when the center of the reticle is over about the center of the stepping field.
    Type: Grant
    Filed: October 31, 1991
    Date of Patent: November 30, 1993
    Assignee: Intel Corporation
    Inventor: Daniel A. Seligson
  • Patent number: 5255050
    Abstract: For projecting a photomask pattern on a wafer using a projection optical system having a short wavelength light source and a high numerical aperture, a method for projection exposure is proposed, by which a focal margin may be improved to achieve stable resolution and improved throughput. It is assumed for example that three-stage sequential light exposure is performed by setting an image plane at three positions, namely at a center focal position which is a mean height position of highs and lows of the wafer surface step difference and plus and minus focal positions offset a predetermined amount on each side of the center focal position. If light exposure is performed at each of these positions with an exposure light volume equal to one-third of the total exposure light volume, the focus margin becomes smaller than that in the case of the two-stage light exposure.
    Type: Grant
    Filed: December 4, 1991
    Date of Patent: October 19, 1993
    Assignee: Sony Corporation
    Inventor: Tetsuya Kitagawa
  • Patent number: 5147763
    Abstract: A process for producing a stamper having a roller form is disclosed. The process includes the steps of: forming a layer of a photoresist on a stamper substrate having a roller form; causing a flexible exposure mask having a predetermined pattern to substantially contact the roller stamper substrate and exposing the roller stamper substrate to light by the medium of the exposure mask; subjecting the photoresist layer to development thereby to form on the stamper substrate a pattern of the photoresist corresponding to the pattern of the exposure mask; and forming on the stamper substrate an unevenness pattern corresponding to the pattern of the photoresist.
    Type: Grant
    Filed: January 7, 1992
    Date of Patent: September 15, 1992
    Assignee: Canon Kabushiki Kaisha
    Inventor: Hirofumi Kamitakahara
  • Patent number: 5137801
    Abstract: A process for producing a light control plate, which comprises(1) preparing an assembly composed of a film of a photopolymerizing composition and a light pervious body having a light scattering pervious pattern arranged along the surface of the film and (2) irradiating light to the assembly from that side of the assembly on which the light pervious body exists, thereby to polymerize the photopolymerizable composition of the film and to form a light control plate having a light pervious region through which light advances straightforwardly defined by the light scattering pervious pattern.
    Type: Grant
    Filed: June 1, 1990
    Date of Patent: August 11, 1992
    Assignees: Nippon Sheet Glass Co., Ltd., Sumitomo Chemical Company, Limited
    Inventors: Toshifumi Tsujino, Satoshi Ishizuka, Koichi Maeda, Shinichiro Kitayama, Shigeo Hozumi
  • Patent number: 5112722
    Abstract: A method of producing a light control plate which induces scattering of light at different angles of incidence for different places. The method comprises (1) preparing an assembly comprising a film of a photo-polymerizable composition and a light-pervious body having a light-impervious pattern arranged along the surface of the film, (2) irradiating light limitedly to the assembly from that side where the light-pervious body at a limited angle while moving the assembly continuously in the planar direction of the film thereby to polymerize at least part of the photopolymerizable film, the light being emitted from a first linear light source whose long axis is positioned in a direction crossing the moving direction of the assembly; and thereafter (3) polymerizing the photopolymerizable composition of that portion of the film-like molded article which is covered with the light-impervious pattern of the light-pervious body by light irradiation or heating.
    Type: Grant
    Filed: April 10, 1990
    Date of Patent: May 12, 1992
    Assignees: Nippon Sheet Glass Co., Ltd., Sumitomo Chemical Company, Limited
    Inventors: Toshifumi Tsujino, Koichi Maeda, Satoshi Ishizuka, Hiroaki Yamamoto, Shinichiro Kitayama, Shigeo Hozumi
  • Patent number: 5077154
    Abstract: A soft edge mask comprises a panel having an opaque area forming a mask portion and defined by a clearly focussed edge of a predetermined shape, a transparent area forming a clear portion defined by a clearly focussed edge of the same said predetermined shape, and a margin portion extending between the opaque portion and the clear portion, the margin portion having a light transmissability varying progressively from the edge of the mask portion to the edge of the clear portion, and, at each position between the mask portion and the clear portion, being clearly focussed and having the same said predetermined shape.
    Type: Grant
    Filed: November 7, 1988
    Date of Patent: December 31, 1991
    Inventor: Ferrand D. E. Corley
  • Patent number: 5045438
    Abstract: A process for the preparation of a substrate of an optical disc having a groove or a series of pits at a predetermined track pitch which comprises the steps of: recording a latent pattern image of the groove or the series of pits on a resist disc comprising a glass disc and a photoresist layer formed thereon, by irradiating a surface of the photoresist layer of the resist disc under rotation at a predetermined velocity with a laser beam or an electron beam emitting from a recording head; producing a stamper using the resist disc having the latent pattern image; and producing the substrate by molding a material of the substrate using the stamper as a mold, is disclosed. In the process of producing a recorded resist disc (i.e., cutting process), the recording head moves in a radial direction of the resist disc at a velocity V.sub.1 which is defined by the following equation:V.sub.1 =V.sub.O .times.1/dwherein V.sub.
    Type: Grant
    Filed: October 3, 1989
    Date of Patent: September 3, 1991
    Assignee: Fuji Photo Film Co., Ltd.
    Inventor: Nobuyuki Adachi
  • Patent number: 5037722
    Abstract: A photoresist exposure method in which a photoresist that is coated by electrodeposition on the surface of a printed circuit board having been subjected to through-hole plating and on the inner wall surfaces of through-holes therein is exposed to light through a film, comprises the first step of exposing the resist electro-deposited on the inner wall surfaces of the through-hole with a light source positioned adjacent to the board and rotated horizontally along the board surface, and the second step of exposing the resist on the board through a film for forming a precise pattern with a light source positioned remote from the board surface.
    Type: Grant
    Filed: December 6, 1989
    Date of Patent: August 6, 1991
    Assignee: ORC Manufacturing Co., Ltd.
    Inventor: Minoru Watanuki
  • Patent number: 5001038
    Abstract: Printed circuit patterns are photolithographically defined on a three dimensional "projection" surface (204) of a printed circuit substrate (202) using a projection image aligner and a photomask (210) having a planar image (210A). The geometry of the projection is restricted such that the slope of the projection surface, as measured at any point on the projection surface and relative to a reference plane which is parallel to the focal plane of the projection image aligner, is less than 90 degrees. A solution of photoresist includes a photoresist solvent, a fluorosurfactant and an aromatic hydrocarbon solvent, and is preferably sprayed over the projection surface. In one method of manufacture, the printed circuit substrate is moved from one position to another during the exposure of the photoresist layer (206). In another method, after a first portion of the projection surface is exposed by a first photomask (502), a second photomask (504) is substituted and the remainder of the projection surface exposed.
    Type: Grant
    Filed: November 16, 1987
    Date of Patent: March 19, 1991
    Assignee: Motorola, Inc.
    Inventors: Dale W. Dorinski, M. William Branan, Jr., Glenn F. Urbish, Anthony B. Suppelsa, Martin J. McKinley, Douglas W. Hendricks
  • Patent number: 4935334
    Abstract: Disclosed is a method in which pattern elements with predetermined wall profiles and/or lateral shapes, differing from the shapes of the respective pattern elements in an irradiation mask which is used, are formed in a photoresist layer. The method comprises a modification of a conventional photolithographic process, where a substrate supporting the photoresist layer is shifted laterally relative to the mask or the mask image in a continuous mode and or in steps during exposure.Also disclosed is an apparatus which includes means for shifting a substrate relative to a mask into the x- and or the y-direction or means between the mask and the substrate for shifting the path of the beam relative to the substrate, and means for controlling the shifting means.The method--especially in connection with the apparatus--allows formation of reproducible photoresist patterns with a great variety of differently formed, wall profiles and/or lateral shapes.
    Type: Grant
    Filed: December 30, 1988
    Date of Patent: June 19, 1990
    Assignee: International Business Machines Corporation
    Inventors: Ulrich C. Boettiger, Bernhard Hafner
  • Patent number: 4904569
    Abstract: An area on a photoresist film which is formed on a substrate surface having a topography, is exposed a plurality of times in such a manner that the image plane of a mask pattern is formed at a plurality of positions which are spaced apart from a reference plane in the substrate in the direction of an optical axis, and then the photoresist film is developed to form a resist pattern. According to the above method, the effective focal depth of the projection aligner used is enhanced, and moreover the reduction of the image contrast at the photoresist film is made very small by the plural exposure operations. Accordingly, a fine pattern can be formed accurately on the substrate surface having the topography.
    Type: Grant
    Filed: January 15, 1988
    Date of Patent: February 27, 1990
    Assignee: Hitachi, Ltd.
    Inventors: Hiroshi Fukuda, Norio Hasegawa, Toshihiko Tanaka, Toshiei Kurosaki, Saburo Nonogaki, Yoshio Taniguchi, Toshiharu Matsuzawa
  • Patent number: 4869999
    Abstract: An area on a photoresist film which is formed on a substrate surface having a topography, is exposed a plurality of times in such a manner that the image plane of a mask pattern is formed at a plurality of positions which are spaced apart from a reference plane in the substrate in the direction of an optical axis, and then the photoresist film is developed to form a resist pattern. According to the above method, the effective focal depth of the projection aligner used is enhanced, and moreover the reduction of the image contrast at the photoresist film is very small by the plural exposure operations. Accordingly, a fine pattern can be formed accurately on the substrate surface having the topography.
    Type: Grant
    Filed: August 10, 1987
    Date of Patent: September 26, 1989
    Assignee: Hitachi, Ltd.
    Inventors: Hiroshi Fukuda, Norio Hasegawa, Toshihiko Tanaka, Toshiei Kurosaki
  • Patent number: 4857425
    Abstract: A method of manufacturing integrated circuits using holographic techniques by interference between an input beam and a reference beam generated from laser sources. A holographic image of the object formed on a mask window, is formed on recording emulsion coated on a glass slab by means of interference between the input beam which has passed through the mask and the reference beam which is reflected from the surface of a prism in contact with the glass slab. In order to reproduce the holographic image on a silicon slice which replaces the mask, the reference beam is replayed in the reverse direction through the prism such that the interference between the input beam and the replayed reference beam causes the holographic image to be created as a real image in the silicon slice.
    Type: Grant
    Filed: June 26, 1987
    Date of Patent: August 15, 1989
    Assignee: Holtronic Technologies Limited
    Inventor: Nicholas J. Phillips
  • Patent number: 4778747
    Abstract: A method of manufacturing an optical memory element, which includes the steps of applying a first photo-resist layer onto a glass substrate for an optical memory element, laying a mask plate on the glass substrate applied with the first photo-resist layer, with the mask plate being prepared by covering a surface of a transparent substrate with metallic layers formed into a guide pattern configuration, irradiating ultraviolet rays onto the first photo-resist layer through the mask plate, transferring the guide patterns of the mask plate onto the first photo-resist layer, and engraving the guide patterns in the glass substrate by etching after developing the first photo-resist layer.
    Type: Grant
    Filed: February 24, 1987
    Date of Patent: October 18, 1988
    Assignee: Sharp Kabushiki Kaisha
    Inventors: Kenji Ohta, Akira Takahashi, Tetsuya Inui, Junji Hirokane, Toshihisa Deguchi
  • Patent number: 4729940
    Abstract: For manufacturing a master for the replication of optical discs a plate of fine-grained copper is coated with a photoresist and exposed by a laser beam which is turned on and off in accordance with information to be recorded on the disc. After developing the photoresist, the exposed portions of the copper layer is etched to a predetermined depth and the photoresist stripped from the etched copper surface.
    Type: Grant
    Filed: May 16, 1986
    Date of Patent: March 8, 1988
    Assignee: CBS Inc.
    Inventors: Hanphire H. Nee, Vasil D. Tasi
  • Patent number: 4521501
    Abstract: In the novel method, light passes upwardly from a light source, through an optical element and then is incident upon a photosensitive layer. A transparent optically-neutral sheet, which may be of glass or plastic, closely spaced above the optical element intercepts extraneous matter that would normally fall on the optical element. At least during the exposing step, the sheet is moved with respect to the optical element to wash out degrading effects of the intercepted material.
    Type: Grant
    Filed: July 14, 1983
    Date of Patent: June 4, 1985
    Assignee: RCA Corporation
    Inventor: Frank T. D'Augustine
  • Patent number: 4409305
    Abstract: Photographic production of characters (11) and the like for the title set on photo material (10) which is insensitive in respect of daylight and sufficiently sensitive in respect of short-wave light. Developer liquid wettens the photo material (10) and is protected by a millimeter grid cover film (12). A recording carrier film (15) with transparent letters (16) and the like, on a colored ground which is opaque in respect of short-wave light, is laid on the photo material or cover film and moved into a condition of alignment (millimeter grid). Short-wave light of the correct amount is produced by a special flash device (20).
    Type: Grant
    Filed: March 19, 1981
    Date of Patent: October 11, 1983
    Inventor: Fred A. Goetschi
  • Patent number: 4239790
    Abstract: The method entails vibrating the wafer during the exposure of the photoresist in order to eliminate standing waves which occur in layers parallel to the surface of the photoresist layer and which cause alternately exposed and unexposed layers of the photoresist to be present.
    Type: Grant
    Filed: September 12, 1979
    Date of Patent: December 16, 1980
    Assignee: RCA Corporation
    Inventor: Wolfram A. Bosenberg
  • Patent number: 4223082
    Abstract: A process is disclosed of forming a visible ultrasonographic image in a silver halide photographic element. This is achieved by imagewise exposing the layer to ultrasonic radiation at an intensity and for a duration sufficient to alter the exposure response of the element to electromagnetic radiation, but below that which will produce a latent image in the absence of externally applied electromagnetic radiation. The element is concurrently or subsequently exposed to electromagnetic radiation to produce a latent image therein defined by the imagewise ultrasonic radiation exposure. The element is then photographically processed to produce a visible image corresponding to the latent image.
    Type: Grant
    Filed: April 18, 1979
    Date of Patent: September 16, 1980
    Assignee: Eastman Kodak Company
    Inventor: Robert B. Rosenfeld
  • Patent number: RE35930
    Abstract: In microelectronic processing, the method of producing complex, two-dimensional patterns on a photosensitive layer with dimensions in the extreme submicron range. A photosensitive layer is first exposed to two beams of coherent radiation to form an image of a first interference pattern on the surface of the layer. The layer is subsequently exposed to one or more interference pattern(s) that differ from the first interference pattern in some way, such as by varying the incident angle of the beams, the optical intensity, the periodicity, rotational orientation, translational position, by using complex amplitude or phase masks in one or both of the coherent beams, or a combination of the above. Desired regions of the complex pattern thus produced are isolated with a further exposure of the photosensitive layer using any conventional lithography.
    Type: Grant
    Filed: April 22, 1996
    Date of Patent: October 20, 1998
    Assignee: the University of New Mexico
    Inventors: Steven R. J. Brueck, Saleem Zaidi, An-Shyang Chu
  • Patent number: RE36113
    Abstract: In microelectronic processing, the method of producing complex, two-dimensional patterns on a photosensitive layer with dimensions in the extreme submicron range. A photosensitive layer is first exposed to two beams of coherent radiation to form an image of a first interference pattern on the surface of the layer. The layer is subsequently exposed to one or more interference pattern(s) that differ from the first interference pattern in some way, such as by varying the incident angle of the beams, the optical intensity, the periodicity, rotational orientation, translational position, by using complex amplitude or phase masks in one or both of the coherent beams, or a combination of the above. Desired regions of the complex pattern thus produced are isolated with a further exposure of the photosensitive layer using any conventional lithography.
    Type: Grant
    Filed: April 22, 1996
    Date of Patent: February 23, 1999
    Assignee: The University of New Mexico
    Inventors: Steven R. J. Brueck, Saleem Zaidi, An-Shyang Chu