Having Diamond Semiconductor Component Patents (Class 438/105)
  • Patent number: 6781156
    Abstract: A localised reduced lifetime region (1,25,41) is provided in a semiconductor device formed substantially of silicon. A predetermined concentration of carbon is provided in the region, and then the body is heated to incorporate a lifetime controlling impurity substantially within the carbon region. It is believed that the association between the impurity ions (M+) and the carbon atoms (C) on silicon lattice sites produces C-M+ complexes with significant capture cross-sections. The carbon may be provided by addition during epitaxial growth of silicon material, during bulk growth of the silicon, or by implantation and/or diffusion.
    Type: Grant
    Filed: December 10, 2002
    Date of Patent: August 24, 2004
    Assignee: Koninklijke Philips Electronics N.V.
    Inventors: Miron Drobnis, Martin J. Hill
  • Publication number: 20040161875
    Abstract: A method and apparatus for depositing single crystal, epitaxial films of silicon carbon and silicon germanium carbon on a plurality of substrates in a hot wall, isothermal UHV-CVD system is described. In particular, a multiple wafer low temperature growth technique in the range from 350° C. to 750° C. is described for incorporating carbon epitaxially in Si and SiGe films with very abrupt and well defined junctions, but without any associated oxygen background contamination. Preferably, these epitakial SiC and SiGeC films are in-situ doped p- or n-type and with the presence of low concentration of carbon <1020 cm−3, the as-grown p- or n-type dopant profile can withstand furnace anneals to temperatures of 850° C. and rapid thermal anneal temperatures to 1000° C.
    Type: Application
    Filed: February 10, 2004
    Publication date: August 19, 2004
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Jack Oon Chu, Basanth Jagannathan, Alfred Grill, Bernard Steele Meyerson, John Albrecht Ott
  • Publication number: 20040149993
    Abstract: A method is disclosed for treating a silicon carbide substrate for improved epitaxial deposition thereon and for use as a precursor in the manufacture of devices such as light emitting diodes. The method includes the steps of implanting dopant atoms of a first conductivity type into the first surface of a conductive silicon carbide wafer having the same conductivity type as the implanting ions at one or more predetermined dopant concentrations and implant energies to form a dopant profile, annealing the implanted wafer, and growing an epitaxial layer on the implanted first surface of the wafer.
    Type: Application
    Filed: January 30, 2003
    Publication date: August 5, 2004
    Applicant: CREE, INC.
    Inventors: Davis Andrew McClure, Alexander Suvorov, John Adam Edmond, David Beardsley Slater
  • Patent number: 6770508
    Abstract: An ohmic electrode for an SiC semiconductor includes a p-type Si layer formed on the surface of a p-type SiC semiconductor, and a metal silicide layer formed on the surface of the Si layer, the metal silicide layer being formed from a metal silicide such as PtSi. The p-type Si layer is preferably formed from p-type Si having a carrier concentration equal to or higher than that of the aforementioned p-type SiC. Preferably, the ohmic electrode is formed as follows: deposition of Si is performed; deposition of a metal silicide is performed by means of laser ablation; laser irradiation is performed to thereby improve ohmic properties and enhance adhesion between the result deposition layer and the p-type SiC semiconductor, and then further deposition of the metal silicide is performed by means of laser ablation.
    Type: Grant
    Filed: November 24, 2003
    Date of Patent: August 3, 2004
    Assignee: NGK Spark Plug Co., Ltd.
    Inventors: Kenshiro Nakashima, Yasuo Okuyama, Hitoshi Yokoi, Takafumi Oshima
  • Publication number: 20040145053
    Abstract: A self-assembled nanobump array structure including a semi-absorbing outer layer provided on at least one nanobump-forming substrate layer, the semi-absorbing outer layer configured to ablate slowly to allow an applied laser energy to be transmitted to the at least one nanobump-forming substrate layer, in which the self-assembled nanobump array structure is formed by an energy and a pressure buildup occurring in the at least one nanobump-forming substrate layer.
    Type: Application
    Filed: January 28, 2003
    Publication date: July 29, 2004
    Inventors: Gregory W. Auner, Ratna Naik, Simon Ng, Gary W. Abrams, James Patrick McCallister, Raymond Iezzi
  • Publication number: 20040132269
    Abstract: A method of fabricating a nanotube structure which includes providing a substrate, providing a mask region positioned on the substrate, patterning and etching through the mask region to form at least one trench, depositing a conductive material layer within the at least one trench, depositing a solvent based nanoparticle catalyst onto the conductive material layer within the at least one trench, removing the mask region and subsequent layers grown thereon using a lift-off process, and forming at least one nanotube electrically connected to the conductive material layer using chemical vapor deposition with a methane precursor.
    Type: Application
    Filed: December 18, 2003
    Publication date: July 8, 2004
    Inventors: Ruth Yu-Al Zhang, Raymond K. Tsui, John Tresek, Adam M. Rawlett
  • Publication number: 20040108515
    Abstract: A cold cathode field emission device comprising a cathode electrode 11 formed on a supporting member 10, a gate electrode 13 which is formed above the cathode electrode 11 and has an opening portion 14, and an electron emitting portion 15 formed on a surface of a portion of the cathode electrode 11 which portion is positioned in a bottom portion of the opening portion 14, said electron emitting portion 15 comprising a carbon-group-material layer 23, and said carbon-group-material layer 23 being a layer formed from a hydrocarbon gas and a fluorine-containing hydrocarbon gas.
    Type: Application
    Filed: October 23, 2003
    Publication date: June 10, 2004
    Inventors: Masakazu Muroyama, Takao Yagi, Kouji Inoue, Ichiro Saito
  • Publication number: 20040108506
    Abstract: A method of forming a high thermal conductivity diamond film and its associated structures comprising selectively nucleating a region of a substrate, and forming a diamond film on the substrate such that the diamond film has large grains, which are at least about 20 microns in size. Thus, the larger grained diamond film has greatly improved thermal management capabilities and improves the efficiency and speed of a microelectronic device.
    Type: Application
    Filed: December 5, 2002
    Publication date: June 10, 2004
    Inventors: Kramadhati V. Ravi, Michael C. Garner
  • Patent number: 6746893
    Abstract: A CMOS-compatible FET has a reduced electron affinity polycrystalline or microcrystalline SiC gate that is electrically isolated (floating) or interconnected. The SiC material composition is selected to establish the barrier energy between the SiC gate and a gate insulator. In a memory application, such as a flash EEPROM, the SiC composition is selected to establish a lower barrier energy to reduce write and erase voltages and times or accommodate the particular data charge retention time needed for the particular application. In a light detector or imaging application, the SiC composition is selected to provide sensitivity to the desired wavelength of light. Unlike conventional photodetectors, light is absorbed in the floating gate, thereby ejecting previously stored electrons therefrom. Also unlike conventional photodetectors, the light detector according to the present invention is actually more sensitive to lower energy photons as the semiconductor bandgap is increased.
    Type: Grant
    Filed: February 23, 1999
    Date of Patent: June 8, 2004
    Assignee: Micron Technology, Inc.
    Inventors: Leonard Forbes, Kie Y. Ahn
  • Patent number: 6734462
    Abstract: A structure and method for a voltage blocking device comprises a cathode region, a drift region positioned on the cathode region, a gate region positioned on the drift region, an anode region positioned on the gate region and a plurality of contacts positioned on each of the cathode region, the gate region, and the anode region, wherein the drift region comprises multiple epilayers having first doped type layers surrounding second doped type layers, wherein dopant concentrations of the first doped type layers are lower than dopant concentrations of the second doped type layers. The epilayers comprise at least one i-n-i layer and/or at least one i-p-i layer. Moreover, the multiple epilayers are operable to block voltages in the device.
    Type: Grant
    Filed: December 6, 2002
    Date of Patent: May 11, 2004
    Assignee: The United States of America as represented by the Secretary of the Army
    Inventor: Pankaj B. Shah
  • Publication number: 20040084680
    Abstract: The effect of resist poisoning may be eliminated or at least substantially reduced in the formation of a low-k metallization layer, in that a nitrogen-containing barrier/etch stop layer is provided with a significantly reduced nitrogen concentration at an interface in contact with said low-k dielectric material. Consequently, diffusion of nitrogen and nitrogen compounds in vias formed in said low-k dielectric layer is significantly suppressed, so that in a subsequent photolithographic step, interaction of nitrogen and nitrogen compounds with the photoresist is remarkably reduced.
    Type: Application
    Filed: March 31, 2003
    Publication date: May 6, 2004
    Inventors: Hartmut Ruelke, Joerg Hohage, Thomas Werner, Massud Aminpur
  • Publication number: 20040079989
    Abstract: The present invention-provides a tunnel-injection device which encompasses, a reception layer made of a first semiconductor, a barrier-forming layer made of a second semiconductor having a bandgap-narrower than the first semiconductor, being in metallurgical contact with the reception layer, a gate insulating film disposed on the barrier-forming layer. The gate electrode controls the width of the barrier generated at the heterojunction interface between the reception layer and the barrier-forming layer so as to change the tunneling probability of carriers through the barrier. The device further encompasses a carrier receiving region being contact with the reception layer and a carrier-supplying region being contact with the barrier-forming layer.
    Type: Application
    Filed: October 10, 2003
    Publication date: April 29, 2004
    Applicant: NISSAN MOTOR CO., LTD.
    Inventors: Saichirou Kaneko, Masakatsu Hoshi, Kraisorn Throngnumchai, Tetsuya Hayashi, Hideaki Tanaka, Teruyoshi Mihara
  • Patent number: 6723624
    Abstract: A method for fabricating an n-type carbon nanotube device, characterized in that thermal annealing and plasma-enhanced chemical vapor-phased deposition (PECVD) are employed to form a non-oxide gate layer on a carbon nanotube device. Moreover, the inherently p-type carbon nanotube can be used to fabricate an n-type carbon nanotube device with reliable device characteristics and high manufacturing compatibility.
    Type: Grant
    Filed: February 21, 2003
    Date of Patent: April 20, 2004
    Assignee: Industrial Technology Research Institute
    Inventors: Hung-Hsiang Wang, Jeng-Hua Wei, Ming-Jer Kao
  • Publication number: 20040053440
    Abstract: A method of fabricating carbon nanotubes in a nanotube growth apparatus including executing a nanotube growth process recipe and monitoring a safety condition during the executing step. The executing step is interlocked to the monitoring step such that the executing step can be aborted based on the output of the monitoring step.
    Type: Application
    Filed: March 28, 2003
    Publication date: March 18, 2004
    Applicant: First Nano, Inc.
    Inventors: Jonathan W. Lai, Dennis M. Adderton, Stephen C. Minne
  • Patent number: 6706566
    Abstract: A method is provided for forming a device. The method provides a substrate, and provides a plurality of nanotubes in contact with the substrate. The method comprises depositing metal contacts on the substrate, wherein the metal contacts are in contact with a portion of at least one nanotube. The method further comprises selectively breaking the at least one nanotube using an electrical current, removing the metal contacts, cleaning a remaining nanotube, and depositing a first metal contact in contact with a first end of the nanotube and a second metal contact in contact with a second end of the nanotube.
    Type: Grant
    Filed: May 13, 2002
    Date of Patent: March 16, 2004
    Assignee: International Business Machines Corporation
    Inventors: Phaedon Avouris, Philip G. Collins, Vincent Stephane Derycke, Richard Martel
  • Publication number: 20040041154
    Abstract: There are provided an electric part which can be produced through a production process which is excellent in an industrial productivity and a method of manufacturing the electric part, by including a matrix-shaped nonconductive base member, a carbon nanotube group that is sealed within the nonconductive base member and includes one carbon nanotube or plural carbon nanotubes which are electrically connected to each other, in which substantially only end portion of the one carbon nanotube or at least one carbon nanotube contained in the plural carbon nanotubes is exposed from one surface of the nonconductive base member, and an electrode that is connected to a side surface of the at least one carbon nanotube contained in the carbon nanotube group.
    Type: Application
    Filed: February 24, 2003
    Publication date: March 4, 2004
    Applicant: FUJI XEROX CO., LTD.
    Inventors: Miho Watanabe, Hiroyuki Watanabe, Chikara Manabe, Masaaki Shimizu
  • Patent number: 6690026
    Abstract: An apparatus comprising control circuitry formed on a substrate, and a plurality of active media coupled to the control circuitry and formed in a plurality of planes over the substrate. A method comprising forming a pair of junction regions on a substrate separated by a channel length; and forming a channel material overlying and coupled to the pair of junction regions having a dimension at least equal to the channel length. An apparatus comprising a contact formed in a first plane over a device structure; and a device coupled to the contact and formed in a second plane a greater distance from the substrate than the first plane.
    Type: Grant
    Filed: September 28, 2001
    Date of Patent: February 10, 2004
    Assignee: Intel Corporation
    Inventor: Jeff J. Peterson
  • Publication number: 20040023468
    Abstract: A method for manufacturing a free-standing substrate made of a semiconductor material. A first assembly is provided and it includes a relatively thinner nucleation layer of a first material, a support of a second material, and a removable bonding interface defined between facing surfaces of the nucleation layer and support. A substrate of a relatively thicker layer of a third material is grown, by epitaxy on the nucleation layer, to form a second assembly with the substrate attaining a sufficient thickness to be free-standing. The third material is preferably a monocrystalline material. Also, the removable character of the bonding interface is preserved with at least the substrate being heated to an epitaxial growth temperature.
    Type: Application
    Filed: January 22, 2003
    Publication date: February 5, 2004
    Inventors: Bruno Ghyselen, Fabrice Letertre, Carlos Mazure
  • Publication number: 20040012024
    Abstract: An opaque, low resistivity silicon carbide and a method of making the opaque, low resistivity silicon carbide. The opaque, low resistivity silicon carbide is a free-standing bulk material that may be machined to form furniture used for holding semi-conductor wafers during processing of the wafers. The opaque, low resistivity silicon carbide is opaque at wavelengths of light where semi-conductor wafers are processed. Such opaqueness provides for improved semi-conductor wafer manufacturing. Edge rings fashioned from the opaque, low resistivity silicon carbide can be employed in RTP chambers.
    Type: Application
    Filed: July 16, 2003
    Publication date: January 22, 2004
    Applicant: Shipley Company, L.L.C.
    Inventors: Michael A. Pickering, Jitendra S. Goela
  • Patent number: 6680489
    Abstract: Amorphous silicon carbide thin film structures, including: protective coatings for windows in infrared process stream monitoring systems and sensor domes, heated windows, electromagnetic interference shielding members and integrated micromachined sensors; high-temperature sensors and circuits; and diffusion barrier layers in VLSI circuits. The amorphous silicon carbide thin film structures are readily formed, e.g., by sputtering at low temperatures.
    Type: Grant
    Filed: April 25, 2000
    Date of Patent: January 20, 2004
    Assignee: Advanced Technology Materials, Inc.
    Inventors: George R. Brandes, Chris S. Christos, Xueping Xu
  • Publication number: 20040005732
    Abstract: A microelectronic package and method for forming such a package. In one embodiment, the package can include a microelectronic substrate having first connection sites, and a support member having second connection sites and third connection sites, with the third connection sites accessible for electrical coupling to other electrical structures. A plurality of electrically conductive couplers are connected between the first connection sites and the second connection sites, with neighboring conductive couplers being spaced apart to define at least one flow channel. The at least one flow channel is in fluid communication with a region external to the microelectronic substrate. The generally non-conductive material can be spaced apart from the support member to allow the microelectronic substrate to be separated from the support member.
    Type: Application
    Filed: July 5, 2002
    Publication date: January 8, 2004
    Inventors: William Mark Hiatt, Warren Farnworth
  • Patent number: 6674131
    Abstract: In a SiC substrate (10), a first active region (12) composed of n-type heavily doped layers (12a) and undoped layers (12b), which are alternately stacked, and a second active region (13) composed of p-type heavily doped layers (13a) and undoped layers (13b), which are alternately stacked, are provided upwardly in this order. A Schottky diode (20) and a pMOSFET (30) are provided on the first active region (12). An nMOSFET (40), a capacitor (50), and an inductor (60) are provided on the second active region (13). The Schottky diode (20) and the MOSFETs (30, 40) have a breakdown voltage characteristic and a carrier flow characteristic due to a multilayer structure composed of &dgr;-doped layers and undoped layers and are integrated in a common substrate.
    Type: Grant
    Filed: February 26, 2002
    Date of Patent: January 6, 2004
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Toshiya Yokogawa, Kunimasa Takahashi, Makoto Kitabatake, Osamu Kusumoto, Takeshi Uenoyama, Koji Miyazaki
  • Patent number: 6673649
    Abstract: A microelectronic package and method for forming such a package. In one embodiment, the package can include a microelectronic substrate having first connection sites, and a support member having second connection sites and third connection sites, with the third connection sites accessible for electrical coupling to other electrical structures. A plurality of electrically conductive couplers are connected between the first connection sites and the second connection sites, with neighboring conductive couplers being spaced apart to define at least one flow channel. The at least one flow channel is in fluid communication with a region external to the microelectronic substrate. The generally non-conductive material can be spaced apart from the support member to allow the microelectronic substrate to be separated from the support member.
    Type: Grant
    Filed: July 5, 2002
    Date of Patent: January 6, 2004
    Assignee: Micron Technology, Inc.
    Inventors: William Mark Hiatt, Warren Farnworth
  • Publication number: 20040002190
    Abstract: A method for fabricating a flash memory is described. A stacked gate structure and a source/drain are formed on a substrate. An inter-layer dielectrics and a plurality of inter-metal dielectric layers are then formed over the substrate, wherein at least one layer among the inter-layer dielectrics and the inter-metal dielectric layers has a silicon carbide layer formed thereon. The silicon carbide layer is formed to protect the memory device from an UV irradiation, so as to prevent data errors occurring in the memory device.
    Type: Application
    Filed: June 27, 2002
    Publication date: January 1, 2004
    Inventors: Ping-Yi Chang, Pei-Ren Jeng
  • Patent number: 6667495
    Abstract: A semiconductor configuration with ohmic contact-connection includes a first and a second semiconductor region made of silicon carbide, each having a different conduction type. A first and a second contact region serve for contact-connection. The first contact region and the second contact region have an at least approximately identical material composition which is practically homogeneous within the respective contact region. A method is provided for contact-connecting n-conducting and p-conducting silicon carbide, in each case with at least approximately identical material.
    Type: Grant
    Filed: December 8, 2000
    Date of Patent: December 23, 2003
    Assignee: SciCED Electronics Development GmbH & Co. KG
    Inventors: Peter Friedrichs, Dethard Peters, Reinhold Schörner
  • Patent number: 6653659
    Abstract: Silicon carbide devices and methods of fabricating silicon carbide devices are provided by forming a first p-type silicon carbide epitaxial layer on an n-type silicon carbide substrate. At least one first region of n-type silicon carbide is formed extending through the first p-type silicon carbide epitaxial layer and to the n-type silicon carbide substrate so as to provide at least one channel region in the first p-type silicon carbide epitaxial layer. At least one second region of n-type silicon carbide is also formed adjacent and spaced apart from the first region of n-type silicon carbide. A gate dielectric is formed-over the first region of n-type silicon carbide and at least a portion of the second region of n-type silicon carbide. A gate contact is formed on the gate dielectric. A first contact is also formed so as to contact a portion of the p-type epitaxial layer and the second region of n-type silicon carbide. A second contact is also formed on the substrate.
    Type: Grant
    Filed: June 7, 2002
    Date of Patent: November 25, 2003
    Assignee: Cree, Inc.
    Inventors: Sei-Hyung Ryu, Joseph J. Sumakeris, Anant K. Agarwal, Ranbir Singh
  • Publication number: 20030203599
    Abstract: A Si substrate 1 with a SiGeC crystal layer 8 deposited thereon is annealed to form an annealed SiGeC crystal layer 10 on the Si substrate 1. The annealed SiGeC crystal layer includes a matrix SiGeC crystal layer 7, which is lattice-relieved and hardly has dislocations, and SiC microcrystals 6 dispersed in the matrix SiGeC crystal layer 7. A Si crystal layer is then deposited on the annealed SiGeC crystal layer 10, to form a strained Si crystal layer 4 hardly having dislocations.
    Type: Application
    Filed: April 16, 2003
    Publication date: October 30, 2003
    Applicant: Matsushita Electric Industrial Co. , Ltd.
    Inventors: Yoshihiko Kanzawa, Katsuya Nozawa, Tohru Saitoh, Minoru Kubo
  • Publication number: 20030183823
    Abstract: A semiconductor substrate with integrated circuit devices on its front side and a high thermal conductivity layer such as diamond on its back side, with components such as capacitors embedded in the high thermal conductivity layer and coupled to the front side integrated circuits with vias through the substrate.
    Type: Application
    Filed: March 26, 2002
    Publication date: October 2, 2003
    Inventors: Damion T. Searls, Prateek J. Dujari, Bin Lian
  • Patent number: 6627924
    Abstract: A memory system having a plurality of T-RAM cells arranged in an array is presented where each T-RAM cell has dual vertical devices and is fabricated over a SiC substrate. Each T-RAM cell has a vertical thyristor and a vertical transfer gate. The top surface of each thyristor is coplanar with the top surface of each transfer gate within the T-RAM array to provide a planar cell structure for the T-RAM array. A method is also presented for fabricating the T-RAM array having the vertical thyristors, the vertical transfer gates and the planar cell structure over the SiC substrate.
    Type: Grant
    Filed: April 30, 2001
    Date of Patent: September 30, 2003
    Assignee: IBM Corporation
    Inventors: Louis L. Hsu, Li-Kong Wang
  • Publication number: 20030180984
    Abstract: Further improvements in circuit-element performance of surface-acoustic wave devices are anticipated by being able to produce a diamond substrate on which is formed a Li(NbxTa1−x)O3 (wherein 0≦x≦1) thin film whose c-axis orientation is favorable and whose piezoelectric characteristics are satisfactory. A diamond substrate on which a highly c-axis oriented, piezoelectrically satisfactory Li(NbxTa1−x)O3 (wherein 0≦x≦1) thin film is formed can be obtained by using a laser ablation technique to form a Li(NbxTa1−x)O3 (wherein 0≦x≦1) thin film onto a (110)-oriented gas-phase synthesized polycrystalline diamond substrate, that is superficially mirror-surface processed and superficially covered with an amorphous layer. By utilizing a diamond substrate on which a piezoelectric-substance thin film is formed, surface-acoustic wave devices having high propagation speeds can be offered.
    Type: Application
    Filed: February 23, 2003
    Publication date: September 25, 2003
    Inventors: Natsuo Tatsumi, Takahiro Imai
  • Publication number: 20030157746
    Abstract: The invention relates to a composite structure for electronic Microsystems and a method for producing this composite structure, with the composite structure being provided with a polycrystalline diamond layer (4) for heat withdrawal. The growth substrate (1) contains or forms a component layer (2) with the electronic Microsystems, which are provided with binary or higher order component compound semiconductors. A protective layer (3), which encloses the component layer at least indirectly almost entirely, is placed between the component layer 2 and the diamond layer (4). A material is selected for the protective layer whose reactivity with the precursor materials present in the deposition of the diamond layer (4) by means of CVD, preferably by means of plasma CVD, is smaller than that of the component layer (2), and said protective layer.
    Type: Application
    Filed: April 15, 2003
    Publication date: August 21, 2003
    Inventors: Herbert Guttler, Peter Koidl, Matthias Seelmann-Eggebert
  • Publication number: 20030157745
    Abstract: Silicon carbide semiconductor devices having regrown layers and methods of fabricating the same in a self-aligned manner. According to one aspect of the invention, the method includes growing at least one layer of silicon carbide on a substrate, removing the device from a growth chamber to perform at least one processing step, and regrowing another layer of silicon carbide on the at least one layer. According to one embodiment of the invention, the regrown layer may be a heavily doped contact layer for the formation of low resistivity ohmic contacts.
    Type: Application
    Filed: January 9, 2003
    Publication date: August 21, 2003
    Inventors: Bart J. Van Zeghbroeck, John T. Torvik
  • Publication number: 20030157744
    Abstract: A method of producing an integrated circuit with a carbon nanotube is disclosed. The integrated circuit includes a source, a drain, and a gate, and the source and the drain are positioned on the gate. A catalytic material is deposited onto the source. The catalytic material is then subjected to chemical vapor deposition. This initiates growth of the carbon nanotube such that the carbon nanotube extends from the source. Next, the carbon nanotube is bent toward the integrated circuit such that the carbon nanotube extends between the source and the drain to render the circuit operable.
    Type: Application
    Filed: December 6, 2002
    Publication date: August 21, 2003
    Inventor: Rudiger Schlaf
  • Patent number: 6607930
    Abstract: A method for fabricating a thin-film edge emitter device includes the steps of providing a first conductive layer having a top surface; providing an insulating layer having a top surface disposed above the top surface of the first conductive layer; providing a second conductive layer on the insulating layer; and providing a well in the insulating layer over the first conductive layer and an edge in the second conductive layer proximate the well. Providing the well and the edge includes processing the first conductive, insulating, and second conductive layers by at least one of lift-off processing, photolithography processing, and processing with the use of a pre-formed insulating layer having at least one opening associated with a location of the well. The first conductive layer forms an anode. Lastly, the second conductive layer forms at least one of a cladded cathode having an emissive edge and a control electrode.
    Type: Grant
    Filed: February 5, 2002
    Date of Patent: August 19, 2003
    Assignee: Stellar Display Corporation
    Inventors: Leonid Danielovitch Karpov, Mark F. Eaton
  • Publication number: 20030153118
    Abstract: A polysilicon FET is built atop a SiC diode to form a MOSgated device. The polysilicon FET includes an invertible layer of polysilicon atop the surface of a SiC diode which has spaced diode diffusions. A MOSgate is formed on the polysilicon layer and the energization of the gate causes an inversion channel in the invertible layer to form a majority carrier conduction path from a top source electrode to a bottom drain electrode. Forward voltage is blocked in part by the polysilicon FET and in larger part by the depletion of the silicon carbide area between the spaced diode diffusions.
    Type: Application
    Filed: February 24, 2003
    Publication date: August 14, 2003
    Applicant: International Rectifier Corporation
    Inventor: Srikant Sridevan
  • Patent number: 6605489
    Abstract: An inventive electronic device, such as a multi-chip module (MCM), a Single In-line Memory Module (SIMM), or a Dual In-line Memory Module (DIMM), includes a base, such as a printed circuit board, having a surface on which flip-chip pads and wire-bondable pads are provided. The flip-chip pads define an area on the surface of the base at least partially bounded by the wire-bondable pads. A first integrated circuit (IC) die is flip-chip bonded to the flip-chip pads, and a second IC die is back-side attached to the first IC die and then wire-bonded to the wire-bondable pads. As a result, the flip-chip mounted first IC die is stacked with the second IC die in a simple, novel manner.
    Type: Grant
    Filed: May 29, 2002
    Date of Patent: August 12, 2003
    Assignee: Micron Technology, Inc.
    Inventor: James M. Wark
  • Patent number: 6579743
    Abstract: A chip packaging system and method for providing enhanced thermal cooling including a first embodiment wherein a diamond thin film is used to replace at least the surface layer of the existing packaging material in order to form a highly heat conductive path to an associated heat sink. An alternative embodiment provides diamond thin film layers disposed on adjacent surfaces of the chip and the chip package. Yet another alternative embodiment includes diamond thin film layers on adjacent chip surfaces in a chip-to-chip packaging structure. A final illustrated embodiment provides for the use of an increased number of solder balls disposed in at least one diamond thin film layer on at least one of a chip and a chip package joined with standard C4 technology.
    Type: Grant
    Filed: January 7, 2002
    Date of Patent: June 17, 2003
    Assignee: International Business Machines Corporation
    Inventors: Lawrence A. Clevenger, Louis L. Hsu, Li-Kong Wang, Tsorng-Dih Yuan
  • Publication number: 20030107041
    Abstract: In silicon carbide semiconductor device and manufacturing method therefor, a metal electrode which is another than a gate electrode and which is contacted with a singlecrystalline silicon carbide substrate is treated with a predetermined heat process at a temperature which is lower than a thermal oxidization temperature by which a gate insulating film is formed and is sufficient to carry out a contact annealing between the singlecrystalline silicon carbide substrate and a metal after a whole surrounding of the gate insulating film is enclosed with the singlecrystalline silicon carbide substrate, a field insulating film, and the gate electrode. The present invention is applicable to a MOS capacitor, an n channel planar power MOSFET, and an n channel planar power IGBT.
    Type: Application
    Filed: December 2, 2002
    Publication date: June 12, 2003
    Applicant: Nissan Motor Co., Ltd.
    Inventors: Satoshi Tanimoto, Hideyo Okushi
  • Patent number: 6573534
    Abstract: A semiconductor device, comprising: a semiconductor substrate comprising silicon carbide of a first conductivity type; a silicon carbide epitaxial layer of the first conductivity type; a first semiconductor region formed on the semiconductor substrate and comprising silicon carbide of a second conductivity type; a second semiconductor region formed on the first semiconductor region, comprising silicon carbide of the first conductivity type and separated from the semiconductor substrate of the first conductivity type by the first semiconductor region; a third semiconductor region formed on the semiconductor region, connected to the semiconductor substrate and the second semiconductor region, comprising silicon carbide of the first conductivity type, and of higher resistance than the semiconductor substrate; and a gate electrode formed on the third semiconductor region via an insulating layer; wherein the third semiconductor layer is depleted when no voltage is being applied to the gate electrode so that said s
    Type: Grant
    Filed: March 10, 1999
    Date of Patent: June 3, 2003
    Assignee: Denso Corporation
    Inventors: Rajesh Kumar, Tsuyoshi Yamamoto, Shoichi Onda, Mitsuhiro Kataoka, Kunihiko Hara, Eiichi Okuno, Jun Kojima
  • Publication number: 20030071264
    Abstract: A method for bonding diamond heat distribution structures to integrated circuit packages using optical contacting. In one embodiment, a heat spreader comprising diamond slab has a flat contact surface which is polished to a high degree of smoothness. An integrated circuit's package also has a flat contact surface which is polished to a high degree of smoothness. The contact surfaces of the diamond slab and the package are thoroughly cleaned and are then placed in contact with each other, establishing an optical contact bond between them. In one embodiment, the contact surfaces of the diamond and package which are to be bonded together are first polished, then a layer of an intermediate material such as silicon carbide is deposited on the polished surfaces. The silicon carbide layers on the contact surfaces are cleaned and placed in contact with each other to establish an optical contact bond.
    Type: Application
    Filed: November 22, 2002
    Publication date: April 17, 2003
    Applicant: Sun Microsystems, Inc.
    Inventor: Howard Davidson
  • Patent number: 6541303
    Abstract: A method and apparatus for thermally conducting heat from a semiconductor device, namely, a flip-chip assembly. In one embodiment, a heat sink, such as a diamond layer having openings therein is provided over a surface of a semiconductor device. Conductive pads are formed in the openings to be partially contacting the diamond layer and to electrically communicate with the semiconductor device. The heat produced from the semiconductor device and thermally conducting through the conductive pads is thermally conducted to the heat sink or diamond layer and away from the interconnections, i.e. solder bump connections, between a semiconductor device and a carrier substrate in a flip-chip assembly. As a result, thermal fatigue is substantially prevented in a flip-chip assembly.
    Type: Grant
    Filed: June 20, 2001
    Date of Patent: April 1, 2003
    Assignee: Micron Technology, Inc.
    Inventors: Salman Akram, Alan G. Wood
  • Patent number: 6537847
    Abstract: A method is described for forming a solid state qubit. The method includes forming a dot or an anti-dot. The dot or anti-dot can be formed on a substrate and is delimited by an interface that defines a closed area. The dot or anti-dot includes a superconductive material with Cooper pairs that are in a state of non-zero orbital angular momentum on at least one side of the interface. The method includes removing superconducting material on the inner side of the interface or removing the outer side of the interface by etching. The method can further include forming a dot or an anti-dot by damaging the superconducting material such that the superconductive material becomes non-superconductive in predefined areas. The damaging of superconducting material can be performed by irradiation with particles, such as alpha particles or neutrons. The superconductive material can also be formed by doping a non-superconductive material.
    Type: Grant
    Filed: January 25, 2002
    Date of Patent: March 25, 2003
    Assignee: D-Wave Systems, Inc.
    Inventors: Alexandre M. Zagoskin, Geordie Rose, Mohammad H. S. Amin, Marcel Franz, Jeremy P. Hilton
  • Publication number: 20030047748
    Abstract: Large area silicon carbide devices, such as light-activated silicon carbide thyristors, having only two terminals are provided. The silicon carbide devices are selectively connected in parallel by a connecting plate. Silicon carbide thyristors are also provided having a portion of the gate region of the silicon carbide thyristors exposed so as to allow light of an energy greater than about 3.25 eV to activate the gate of the thyristor. The silicon carbide thyristors may be symmetric or asymmetrical. A plurality of the silicon carbide thyristors may be formed on a wafer, a portion of a wafer or multiple wafers. Bad cells may be determined and the good cells selectively connected by a connecting plate.
    Type: Application
    Filed: September 12, 2001
    Publication date: March 13, 2003
    Inventors: Anant Agarwal, Sei-Hyung Ryu, John W. Palmour
  • Patent number: 6514779
    Abstract: A silicon carbide device is fabricated by forming a plurality of a same type of silicon carbide devices on at least a portion of a silicon carbide wafer in a predefined pattern. The silicon carbide devices have corresponding first contacts on a first face of the silicon carbide wafer. The plurality of silicon carbide devices are electrically, tested to identify ones of the plurality of silicon carbide devices which pass an electrical test. The first contact of the identified ones of the silicon carbide devices are then selectively interconnected. Devices having a plurality of selectively connected silicon carbide devices of the same type are also provided.
    Type: Grant
    Filed: October 17, 2001
    Date of Patent: February 4, 2003
    Assignee: Cree, Inc.
    Inventors: Sei-Hyung Ryu, Anant Agarwal, Craig Capell, John W. Palmour
  • Publication number: 20030006415
    Abstract: In a SiC substrate (10), a first active region (12) composed of n-type heavily doped layers (12a) and undoped layers (12b), which are alternately stacked, and a second active region (13) composed of p-type heavily doped layers (13a) and undoped layers (13b), which are alternately stacked, are provided upwardly in this order. A Schottky diode (20) and a pMOSFET (30) are provided on the first active region (12). An nMOSFET (40), a capacitor (50), and an inductor (60) are provided on the second active region (13). The Schottky diode (20) and the MOSFETs (30, 40) have a breakdown voltage characteristic and a carrier flow characteristic due to a multilayer structure composed of &dgr;-doped layers and undoped layers and are integrated in a common substrate.
    Type: Application
    Filed: February 26, 2002
    Publication date: January 9, 2003
    Inventors: Toshiya Yokogawa, Kunimasa Takahashi, Makoto Kitabatake, Osamu Kusumoto, Takeshi Uenoyama, Koji Miyazaki
  • Publication number: 20020158254
    Abstract: A memory system having a plurality of T-RAM cells arranged in an array is presented where each T-RAM cell has dual vertical devices and is fabricated over a SiC substrate. Each T-RAM cell has a vertical thyristor and a vertical transfer gate. The top surface of each thyristor is coplanar with the top surface of each transfer gate within the T-RAM array to provide a planar cell structure for the T-RAM array. A method is also presented for fabricating the T-RAM array having the vertical thyristors, the vertical transfer gates and the planar cell structure over the SiC substrate.
    Type: Application
    Filed: April 30, 2001
    Publication date: October 31, 2002
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Louis L. Hsu, Li-Kong Wang
  • Patent number: 6468890
    Abstract: The disclosed semiconductor device comprises an ohmic contact between a semiconductor region made of n-conducting silicon carbide and a largely homogeneous ohmic contact layer (110), which adjoins the semiconductor region and is made of a material having a first and a second material component. A silicide formed from the first material component and the silicon of the silicon carbide and a carbide formed from the second material component and the carbon of the silicon carbide are contained in a junction region between the semiconductor region and the ohmic contact layer. The silicide and carbide formation take place at maximum 1000° C.
    Type: Grant
    Filed: March 2, 2001
    Date of Patent: October 22, 2002
    Assignee: Siced Electronics Development GmbH & Co. KG
    Inventors: Wolfgang Bartsch, Reinhold Schörner, Dietrich Stephani
  • Patent number: 6461889
    Abstract: A method of fabricating a semiconductor device that makes it possible to decrease the thermal resistance of the semiconductor device is provided. First, a semiconductor base layer is formed over a main surface of a semiconductor substrate. Then, the semiconductor base layer on which the at least one device structure has been formed is separated from the main surface of the semiconductor substrate. Further, the semiconductor base layer on which the at least one device structure has been formed and separated from the main, surface of the semiconductor substrate is attached onto a main surface of a diamond substrate. Finally, the semiconductor base layer thus attached is fixed to the main surface of the diamond substrate. The semiconductor base layer is preferably formed over the main surface of the semiconductor substrate through an intervening sacrificial layer. Also, the semiconductor base layer is separated from the main surface of the semiconductor substrate by removing the sacrificial layer.
    Type: Grant
    Filed: August 17, 1999
    Date of Patent: October 8, 2002
    Assignee: NEC Corporation
    Inventor: Norihiko Samoto
  • Publication number: 20020139992
    Abstract: Openings are formed in a laminate of a polycrystalline silicon film and an LTO film on a channel layer. While the laminate is used as a mask, impurities are implanted into a place in the channel layer which is assigned to a source region. Also, impurities are implanted into another place in the channel layer which is assigned to a portion of a second gate region. A portion of the polycrystalline silicon film which extends from the related opening is thermally oxidated. The LTO film and the oxidated portion of the polycrystalline silicon film are removed. While a remaining portion of the polycrystalline silicon film is used as a mask, impurities are implanted into a place in the channel layer which is assigned to the second gate region. Accordingly, the source region and the second gate region are formed on a self-alignment basis which suppresses a variation in channel length.
    Type: Application
    Filed: March 28, 2002
    Publication date: October 3, 2002
    Inventors: Rajesh Kumar, Hiroki Nakamura, Jun Kojima
  • Patent number: 6429041
    Abstract: Silicon carbide devices and methods of fabricating silicon carbide devices are provided by forming a first p-type silicon carbide epitaxial layer on an n-type silicon carbide substrate. At least one first region of n-type silicon carbide is formed extending through the first p-type silicon carbide epitaxial layer and to the n-type silicon carbide substrate so as to provide at least one channel region in the first p-type silicon carbide epitaxial layer. At least one second region of n-type silicon carbide is also formed adjacent and spaced apart from the first region of n-type silicon carbide. A gate dielectric is formed over the first region of n-type silicon carbide and at least a portion of the second region of n-type silicon carbide. A gate contact is formed on the gate dielectric. A first contact is also formed so as to contact a portion of the p-type epitaxial layer and the second region of n-type silicon carbide. A second contact is also formed on the substrate.
    Type: Grant
    Filed: July 13, 2000
    Date of Patent: August 6, 2002
    Assignee: Cree, Inc.
    Inventors: Sei-Hyung Ryu, Joseph J. Sumakeris, Anant K. Agarwal, Ranbir Singh