Using Epitaxial Lateral Overgrowth Patents (Class 438/341)
  • Patent number: 6190970
    Abstract: A MOSFET and IGBT are described that exhibit high breakdown voltage together with low on-resistance. This is achieved by providing an N type shunt that extends from the N+ drain (for power MOSFETs) or P+ emitter (for IGBTs), through the N− region to a short distance below the gate oxide. To manufacture such a shunt, an epi wafer with N− epitaxy is first provided on top of an N+ (for power MOSFET) or P+ (for IGBT) layer. Through a suitable mask (contact or freestanding) on the top surface, the wafer is then subjected to bombardment by protons or deuterons. Because of ion transmutation doping, a region of N type material forms wherever the surface is not masked. By controlling the energies of the ions, this region is caused to extend below the wafer's surface so as to just contact the N+ or P+ layer or even to go through it.
    Type: Grant
    Filed: January 4, 1999
    Date of Patent: February 20, 2001
    Assignee: Industrial Technology Research Institute
    Inventors: Chungpin Liao, Dar-Chang Juang
  • Patent number: 6171894
    Abstract: A method of manufacturing a BICMOS integrated circuit including an NPN transistor in a heavily-doped P-type wafer coated with a lightly-doped P-type layer, including the steps of forming an N well of collector of a bipolar transistor; coating the structure with a polysilicon seed layer and opening above collector well portions; growing undoped silicon, then P-type doped silicon to form a single-crystal silicon base region; depositing an insulating layer and opening it; depositing N-type emitter polysilicon and etching it outside useful areas; etching the base silicon outside useful areas; forming spacers; and forming a collector contact area at the same time as the drain implantation of the N-channel MOS transistors.
    Type: Grant
    Filed: November 19, 1999
    Date of Patent: January 9, 2001
    Assignee: STMicroelectronics S.A.
    Inventor: Michel Laurens
  • Patent number: 6156616
    Abstract: The present invention relates to a bipolar transistor of NPN type implemented in an epitaxial layer within a window defined in a thick oxide layer, including an opening formed substantially at the center of the window, this opening penetrating into the epitaxial layer down to a depth of at least the order of magnitude of the thick oxide layer, an N-type doped region at the bottom of the opening, a first P-type doped region at the bottom of the opening, a second lightly-doped P-type region on the sides of the opening, and a third highly-doped P-type region in the vicinity of the upper part of the opening, the three P-type regions being contiguous and forming the base of the transistor.
    Type: Grant
    Filed: November 13, 1997
    Date of Patent: December 5, 2000
    Assignee: SGS-Thomson Microelectronics S.A.
    Inventor: Yvon Gris
  • Patent number: 6156617
    Abstract: When a bipolar transistor having a buried layer is formed, the withstanding pressure of the bipolar transistor is deteriorated by upward diffusion to a great extent from the buried layer. When a buried layer is formed in a semiconductor substrate, by providing a region without impurity introduction, upward diffusion from the buried layer is controlled to prevent deterioration in the withstanding pressure.
    Type: Grant
    Filed: May 26, 1999
    Date of Patent: December 5, 2000
    Assignee: Seiko Instruments Inc.
    Inventor: Naoto Saitoh
  • Patent number: 6140195
    Abstract: The present invention provides a collector device in a bipolar device, having a lateral collector structure on a buried oxide layer. This collector has a high breakdown voltage for high power and operating at a high speed, by isolating a horizontal collector from a substrate by a buried oxide film and horizontally connecting a buried collector to a collector. The buried collector film is formed on the buried insulating film, surrounding the collector film and being horizontally connected to the collector film.
    Type: Grant
    Filed: December 17, 1998
    Date of Patent: October 31, 2000
    Assignee: Electronics and Telecommunications Research Institute
    Inventors: Byung-Ryul Ryum, Soo-Min Lee, Deok-Ho Cho, Tae-Hyeon Han
  • Patent number: 6140196
    Abstract: A method of fabricating a high power bipolar junction transistor. A P-type substrate having an N-type buried region is provided and a trench is formed within the substrate to expose the buried region. N-type ions are implanted and driven into the sidewall of the trench to form a sinker. Since the area and the depth of implantation are larger and deeper than that in prior art, the concentration of the sinker is more uniform and the diffusion range is easily controlled. An N-type epitaxial layer is then formed in the trench and an emitter, a base and their contacts are formed by conventional technique.
    Type: Grant
    Filed: December 2, 1998
    Date of Patent: October 31, 2000
    Assignee: United Microelectronics Corp.
    Inventor: Ming-Tsung Tung
  • Patent number: 6121101
    Abstract: A process for device fabrication in which amorphous silicon is deposited into a narrow gap is disclosed. The gap is an opening between two layers of material. The gap results when a window is formed in one of the two layers and a portion of a third layer at the base of the window is removed. In the formation of a bipolar device, a layer of oxide is formed on a silicon substrate and a layer of silicon is formed on the oxide layer which serves as the extrinsic base for the device. In the bipolar device, a window is formed in the polysilicon and the oxide layer at the base of the window is then removed. In the bipolar device, the silicon substrate underlies the gap and the extrinsic base silicon overlies the gap. When the oxide is removed from the base of the window, a portion of the oxide layer underlying the extrinsic base silicon is removed as well, thereby forming a gap between the extrinsic base silicon and the underlying silicon substrate.
    Type: Grant
    Filed: March 12, 1998
    Date of Patent: September 19, 2000
    Assignee: Lucent Technologies Inc.
    Inventors: Clifford Alan King, Kwok K. Ng
  • Patent number: 6121121
    Abstract: An Al.sub.0.15 Ga.sub.0.85 N layer 2 is formed on a silicon substrate 1 in a striped or grid pattern. A GaN layer 3 is formed in regions A where the substrate 1 is exposed and in regions B which are defined above the layer 2. At this time, the GaN layer grows epitaxially and three-dimensionally (not only in a vertical direction but also in a lateral direction) on the Al.sub.0.15 Ga.sub.0.85 N layer 2. Since the GaN layer grows epitaxially in the lateral direction as well, a GaN compound semiconductor having a greatly reduced number of dislocations is obtained in lateral growth regions (regions A where the substrate 1 is exposed).
    Type: Grant
    Filed: July 27, 1999
    Date of Patent: September 19, 2000
    Assignee: Toyoda Gosei Co., Ltd
    Inventor: Norikatsu Koide
  • Patent number: 6107167
    Abstract: Polysilicon gates are formed with greater accuracy and consistency by depositing an antireflective layer, e.g., amorphous silicon, on the polysilicon layer before patterning. Embodiments also include depositing the polysilicon layer and the amorphous silicon layer in the same tool.
    Type: Grant
    Filed: August 2, 1999
    Date of Patent: August 22, 2000
    Assignee: Advanced Micro Devices, Inc.
    Inventor: Jayendra D. Bhakta
  • Patent number: 6087683
    Abstract: The present invention provides, in one embodiment, a method of fabricating a heterostructure bipolar transistor. This particular embodiment comprises forming a n-type doped region in a semiconductor substrate to form a collector, epitaxially forming a base on the collector, epitaxially doping the base with indium while forming the base, and forming an emitter on the base. The base is epitaxially formed, and at the same time the base is doped with indium. In other words, the indium is epitaxially incorporated within the base as the base is being formed. In addition to the indium, the base may also be epitaxially doped with boron. Since, indium is incorporated into the base with the same epitaxial process used to form the base, the damage typically associated with conventional implantation processes are not present, and thus, the high annealing temperatures to repair the damage are not required. The base can be doped and formed at the same time; thereby, saving processing time.
    Type: Grant
    Filed: July 31, 1998
    Date of Patent: July 11, 2000
    Assignee: Lucent Technologies
    Inventors: Clifford A. King, Isik C. Kizilyalli
  • Patent number: 6080631
    Abstract: In a method for manufacturing a bipolar transistor, a semiconductor layer having a collector region of a first conductivity type is formed, and an epitaxial semiconductor layer of a second conductivity type is grown on the semiconductor layer. Then, impurities are thermally diffused from the epitaxial semiconductor layer into the semiconductor layer. Thus, a base region is formed by the epitaxial semiconductor layer and a part of the semiconductor layer.
    Type: Grant
    Filed: May 22, 1998
    Date of Patent: June 27, 2000
    Assignee: NEC Corporation
    Inventor: Hideki Kitahata
  • Patent number: 6060365
    Abstract: A method for fabricating a bipolar transistor improves the fast characteristics of the transistor at low operating voltages. An oxide film is formed on a semiconductor substrate, in which a buried layer is formed, and a floating poly base is formed on the oxide film. An insulating film is then formed on the entire surface of the semiconductor substrate including the floating poly base. The insulating film and the floating poly base are etched to define a base region and a collector region, and a first epitaxial layer is formed in the base and collector regions, with the first epitaxial layer having a smaller thickness than the oxide film. A second epitaxial layer is formed on the first epitaxial layer, and impurities are implanted into the second epitaxial layer in the base and collector regions. A second polysilicon layer is then formed on the second epitaxial layer in the base region, and electrodes are formed on the semiconductor surface.
    Type: Grant
    Filed: February 2, 1998
    Date of Patent: May 9, 2000
    Assignee: LG Semicon Co., Ltd.
    Inventor: Yong-Chan Kim
  • Patent number: 6020246
    Abstract: An improved method and an apparatus for forming a self-aligned epitaxial base bipolar transistor in a semiconductor material is disclosed. The method of the invention involves forming an intrinsic base region formed by growing an epitaxial semiconductor material over a collector region. A raised sacrificial emitter core is then formed on the intrinsic base region followed by depositing a substantially conformal spacer layer over the sacrificial emitter core. Next, the spacer material is anisotropically etched such that a protective spacer ring is formed about the sacrificial emitter core. An extrinsic base is then formed by implanting dopant into the epitaxial base region wherein the sacrificial emitter core and the spacer ring preserve an emitter region. The spacer ring also serves to self-align the extrinsic base region to the emitter region. The protective sacrificial emitter core and spacer ring are then removed.
    Type: Grant
    Filed: March 13, 1998
    Date of Patent: February 1, 2000
    Assignee: National Semiconductor Corporation
    Inventors: Waclaw C. Koscielniak, Kulwant S. Egan, Jayasimha S. Prasad
  • Patent number: 5994162
    Abstract: An integrated circuit-compatible photo detector is disclosed which is particularly compatible with BiCMOS fabrication processes. In a first aspect, the photo detector is formed as a lateral phototransistor having a semiconductor substrate, a base structure formed as a first impurity region in the substrate, an emitter structure formed as a second impurity region in the first impurity region, and a collector structure formed by the substrate and by a pair of third and fourth impurity regions in the substrate on opposite sides of the first and second impurity regions. An emitter contact is electrically connected to the second impurity region, while a pair of collector contacts are electrically connected to the third and fourth impurity regions and to each other. An anti-reflective coating is applied to at least the base structure.
    Type: Grant
    Filed: February 5, 1998
    Date of Patent: November 30, 1999
    Assignee: International Business Machines Corporation
    Inventors: Joachim Norbert Burghartz, Mark B Ritter, Uli Klepser
  • Patent number: 5963822
    Abstract: According to a method of fabricating a selective epitaxial film, a thin insulating film serving as a mask is formed on the entire surface of a semiconductor substrate having a (100) plane. An opening portion reaching the semiconductor substrate is formed in a desired region of the thin insulating film. An epitaxial film is selectively grown in the opening portion. The semiconductor substrate having the selective epitaxial film formed thereon is annealed at at least a pressure of 1,000 Pa and at least a temperature of 800.degree. C. to fill a gap on the contact surface between the thin insulating film and the selective epitaxial film.
    Type: Grant
    Filed: April 4, 1997
    Date of Patent: October 5, 1999
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Hidenori Saihara, Hiroshi Naruse, Hiroyuki Sugaya
  • Patent number: 5926725
    Abstract: In a method of manufacturing a semiconductor device, to form an opening in an insulation film such as a silicon oxide on a semiconductor substrate in a reverse tapered sectional configuration such that no gap is formed between a side surface of an epitaxial growth layer formed in the opening and the opening in the insulation film, the insulation film having the opening is subjected to a thermal process in an atmosphere of non-oxidizing gas including hydrogen elements such as hydrogen, silane or disilane gas. An opening is formed in the insulation film on the semiconductor substrate using isotropic etching. As a result of the above-described thermal process, decomposition of a silicon oxide proceeds from the interface between the insulation film and the semiconductor substrate at a side-wall of the opening to eventually form the opening in a reverse tapered sectional configuration at least in an edge portion thereof.
    Type: Grant
    Filed: May 9, 1997
    Date of Patent: July 20, 1999
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Hidenori Saihara, Hiroshi Naruse, Hiroyuki Sugaya, Shizue Hori
  • Patent number: 5915186
    Abstract: In a semiconductor device manufacturing method for forming first and second bipolar transistors on a semiconductor substrate 1, a link base layer 5 for connecting a graft base layer (graft base layer 8) of the first bipolar transistor and an intrinsic base layer 12 to each other, and at least a part of a base layer 6 of the second bipolar transistor are formed simultaneously with each other, and then the link base layer 5 in a region where the intrinsic base layer 12 will be formed is removed by an etching treatment, and then by a selective epitaxial growth method, the intrinsic base layer 12 is formed in the region where the link base layer 5 is removed.
    Type: Grant
    Filed: December 18, 1997
    Date of Patent: June 22, 1999
    Assignee: Sony Corporation
    Inventor: Takayuki Gomi
  • Patent number: 5909623
    Abstract: A manufacturing method of the present invention comprises the first step of forming an epitaxial base layer in an opening of an element-isolating oxide film on a semiconductor substrate in a non-selection condition, the second step of growing a silicon oxide film on the epitaxial base layer and a base polysilicon layer, and the third step of etching the silicon oxide film to expose the polysilicon layer by the etch-back or the CMP. According to this method, the silicon oxide film is left only on the epitaxial base layer, and the planarization of the device can be attained. The present invention also reduces the resistance of the base electrode by providing silicide to the device.
    Type: Grant
    Filed: April 21, 1998
    Date of Patent: June 1, 1999
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Hidenori Saihara
  • Patent number: 5904535
    Abstract: A process for fabricating a bipolar transistor on a silicon-on-insulator substrate which includes etching a bipolar transistor area into the substrate, wherein the bipolar transistor area has substantially vertical sidewalls and a bottom, and forming a buried collector in bottom of the bipolar transistor area. Polysilicon sidewalls are formed adjacent to the vertical sidewalls in the bipolar transistor area, wherein the polysilicon sidewalls are connected to the buried collector. The polysilicon sidewalls are oxidized to form a layer of oxidized polysilicon. Oxide sidewalls are formed on the oxidized polysilicon sidewalls, and epitaxial silicon is formed to fill the bipolar transistor area. A base and an emitter are formed for the bipolar transistor, within the epitaxial barrier.
    Type: Grant
    Filed: November 13, 1996
    Date of Patent: May 18, 1999
    Assignee: Hyundai Electronics America
    Inventor: Steven S. Lee
  • Patent number: 5895248
    Abstract: A method of a manufacturing a semiconductor device whereby a layer of insulating material and a layer of polycrystalline silicon are provided on a surface of a monocrystalline wafer. A window is then provided in the layer of polycrystalline silicon and a protective layer is formed on the wall of this window. Then the layer of insulating material is removed within the window and below an edge of the layer of polycrystalline silicon adjoining the window. Subsequently, silicon is selectively grown on the mono- and polycrystalline silicon exposed in and adjacent the window from a vapor comprising chlorine as well as silicon at low pressure. The silicon wafer is cleaned before the selective deposition through heating in an atmosphere comprising hydrogen at a pressure of at least 1 atmosphere. This cleaning safeguards that the deposited monocrystalline silicon will always be connected to the layer of polycrystalline silicon by the deposited polycrystalline silicon.
    Type: Grant
    Filed: October 21, 1996
    Date of Patent: April 20, 1999
    Assignee: U.S. Philips Corporation
    Inventors: Wiebe B. De Boer, Matthias J.J. Theunissen, Armand Pruijmboom
  • Patent number: 5773350
    Abstract: In a method of fabricating a self-aligned bipolar junction transistor with silicide extrinsic base contacts and selective epitaxial grown intrinsic base region, the sinker and buried N+ layer regions are formed in a semiconductor substrate with trench oxide isolation. Thin oxide is then formed on the structure. Next, metal silicide is deposited on the thin oxide and p-dopant implanted into the silicide. LTO is then deposited on the doped silicide followed by deposition of nitride. Next, the nitride, LTO and silicide layers are etched, stopping on the thin oxide layer. The thin oxide is then etched to expose the silicon. The etch undercuts the thin oxide under the nitride. A thin p+ epitaxial base is then selectively grown on the silicon and the metal silicide only. The base can be silicon or a silicon germanium layer to form a heterojunction transistor. Next, thin LTO is deposited followed by deposition of nitride. An RIE of the nitride is then performed to form nitride spacers, stopping on the thin LTO.
    Type: Grant
    Filed: July 10, 1997
    Date of Patent: June 30, 1998
    Assignee: National Semiconductor Corporation
    Inventors: Francois Herbert, Rashid Bashir
  • Patent number: 5766999
    Abstract: A SiGe alloy film containing electrically active impurity in a concentration higher than the intrinsic base layer is formed on the eaves-structured polycrystalline silicon film for base electrode. After that, SiGe only just under the opening is removed completely by dry etching under a condition that etching speed of SiGe is faster than that of Si, and subsequently the intrinsic base layer is formed.
    Type: Grant
    Filed: March 28, 1996
    Date of Patent: June 16, 1998
    Assignee: NEC Corporation
    Inventor: Fumihiko Sato
  • Patent number: 5620908
    Abstract: A method of manufacturing a semiconductor device including selectively forming an element-isolating insulating layer on a surface of a semiconductor substrate to define active regions; forming a first insulating layer and removing respective portions thereof on surfaces of a second conductive type active region and a first active region of a first conductive type; oxidizing to form a gate oxide layer; forming and patterning a conductive layer to form a gate electrodes of MOS transistors and a base-extracting electrode of a bipolar transistor; forming an opening, in the base-extracting electrode, and a side wall insulating layer on an inner wall of the opening; removing first and second portions of the insulating layer to form an overhung portion; epitaxially growing a second conductive type semiconductor layer using the base-extracting electrode and active region of the first conductive type as a seed crystal; and selectively forming a first conductive type semiconductor layer that is to become an emitter tha
    Type: Grant
    Filed: September 19, 1995
    Date of Patent: April 15, 1997
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Kazumi Inoh, Yasuhiro Katsumata, Satoshi Matsuda, Chihiro Yoshino
  • Patent number: 4980209
    Abstract: A wrap for a flower pot comprises a sheet of material formed into the shape of a flower pot and having a pair of matching rings trapping the material between them at a location which, in use, will be adjacent to the upper margins of a flower pot. There is also disclosed a method of forming a flower pot wrap in which a first ring is placed on a mandrel having the shape of a flower pot, a sheet of material is placed over the mandrel and a second ring is moved over the sheet about the mandrel to engage the first ring and trap the sheet between the two rings.
    Type: Grant
    Filed: May 9, 1989
    Date of Patent: December 25, 1990
    Assignee: AEC Machinery Limited
    Inventor: Reinier Hill