And Gettering Of Substrate Patents (Class 438/402)
  • Patent number: 10222546
    Abstract: There are provided green-emitting quantum dots (QDs) including I-III-VI type ternary Cu—Ga—S core QDs and ZnS multishell wherein Cu:Ga is 1:10 to 1:1, and a fabricating method thereof. Integration of these QDs and red-emitting QDs into a blue LED leads to the fabrication of a white light-emitting device with high color rendering index. There are also provided blue-emitting QDs including I-III-VI type quaternary Zn—Cu—Ga—S or Cu—Ga—Al—S core QDs and ZnS multishell, and a fabricating method thereof. An electrically-driven blue light-emitting device with a QD emitting layer including these QDs interposed between a hole transport layer and an electron transport layer is fabricated.
    Type: Grant
    Filed: July 14, 2017
    Date of Patent: March 5, 2019
    Assignee: HONGIK UNIVERSITY INDUSTRY-ACADEMIA COOPERATION FOUNDATION
    Inventors: Hee-Sun Yang, Jong-Hoon Kim, Bu-Yong Kim
  • Patent number: 9633892
    Abstract: A method for manufacturing an SOI substrate in which crystal defects of a single crystal semiconductor layer are reduced even if a single crystal semiconductor substrate including crystal defects is used. A first oxide film is formed on a single crystal semiconductor substrate; the first oxide film is removed; a surface of the single crystal semiconductor substrate from which the first oxide film is removed is irradiated with laser light; a second oxide film is formed on the single crystal semiconductor substrate; an embrittled region is formed in the single crystal semiconductor substrate by irradiating the single crystal semiconductor substrate with ions through the second oxide film; bonding the second oxide film and the semiconductor substrate so as to face each other; and the single crystal semiconductor substrate is separated at the embrittled region by heat treatment to obtain a single crystal semiconductor layer bonded to the semiconductor substrate.
    Type: Grant
    Filed: March 25, 2009
    Date of Patent: April 25, 2017
    Assignee: Semiconductor Energy Laboratory Co., Ltd
    Inventors: Shunpei Yamazaki, Eriko Nishida, Takashi Shimazu
  • Patent number: 9163327
    Abstract: Provided is a silicon wafer which is stabilized in quality exerting no adverse influence on device characteristics and manufactured by restricting a boron contamination from the environment, and a manufacturing process therefor. Concretely, the silicon wafer is characterized by an attached boron amount thereon being 1×1010 atoms/cm2 or less. In order to manufacture such a wafer as contains a small amount of boron attached on the wafer surface, the wafer is treated in an atmosphere of boron concentration of 15 ng/m3 or less. Boron-less filters and boron adsorbing filters are used as filters in a clean room and the like so as to lower the boron concentration in the atmosphere.
    Type: Grant
    Filed: August 2, 2012
    Date of Patent: October 20, 2015
    Assignee: Shin-Etsu Handotai Co., Ltd.
    Inventors: Fumiaki Maruyama, Naoki Naito, Atsuo Uchiyama
  • Patent number: 9034717
    Abstract: Methods for forming a layer of semiconductor material and a semiconductor-on-insulator structure are provided. A substrate including one or more devices or features formed therein is provided. A seed layer is bonded to the substrate, where the seed layer includes a crystalline semiconductor structure. A first portion of the seed layer that is adjacent to an interface between the seed layer and the substrate is amorphized. A second portion of the seed layer that is not adjacent to the interface is not amorphized and maintains the crystalline semiconductor structure. Dopant implantation is performed to form an N-type conductivity region or a P-type conductivity region in the first portion of the seed layer. A solid-phase epitaxial growth process is performed to crystallize the first portion of the seed layer. The SPE growth process uses the crystalline semiconductor structure of the second portion of the seed layer as a crystal template.
    Type: Grant
    Filed: May 15, 2014
    Date of Patent: May 19, 2015
    Assignee: Taiwan Semiconductor Manufacturing Company Limited
    Inventor: Jean-Pierre Colinge
  • Patent number: 8895407
    Abstract: A manufacturing method of an SOI substrate which possesses a base substrate having low heat resistance and a very thin semiconductor layer having high planarity is demonstrated. The method includes: implanting hydrogen ions into a semiconductor substrate to form an ion implantation layer; bonding the semiconductor substrate and a base substrate such as a glass substrate, placing a bonding layer therebetween; heating the substrates bonded to each other to separate the semiconductor substrate from the base substrate, leaving a thin semiconductor layer over the base substrate; irradiating the surface of the thin semiconductor layer with laser light to improve the planarity and recover the crystallinity of the thin semiconductor layer; and thinning the thin semiconductor layer. This method allows the formation of an SOI substrate which has a single-crystalline semiconductor layer with a thickness of 100 nm or less over a base substrate.
    Type: Grant
    Filed: September 27, 2010
    Date of Patent: November 25, 2014
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Hidekazu Miyairi, Akihisa Shimomura, Tatsuya Mizoi, Eiji Higa, Yoji Nagano
  • Patent number: 8846500
    Abstract: At least one exemplary embodiment is directed to a method of forming a multilayered gettering structure that can be used to control wafer warpage.
    Type: Grant
    Filed: December 13, 2010
    Date of Patent: September 30, 2014
    Assignee: Semiconductor Components Industries, LLC
    Inventors: David Lysacek, Jana Vojtechovska, Lubomir Dornak, Petr Kostelnik, Lukas Valek, Petr Panek
  • Patent number: 8772129
    Abstract: A manufacturing method of an SOI substrate which possesses a base substrate having low heat resistance and a very thin semiconductor layer having high planarity is demonstrated. The method includes: implanting hydrogen ions into a semiconductor substrate to form an ion implantation layer; bonding the semiconductor substrate and a base substrate such as a glass substrate, placing a bonding layer therebetween; heating the substrates bonded to each other to separate the semiconductor substrate from the base substrate, leaving a thin semiconductor layer over the base substrate; irradiating the surface of the thin semiconductor layer with laser light to improve the planarity and recover the crystallinity of the thin semiconductor layer; and thinning the thin semiconductor layer. This method allows the formation of an SOI substrate which has a single-crystalline semiconductor layer with a thickness of 100 nm or less over a base substrate.
    Type: Grant
    Filed: September 27, 2010
    Date of Patent: July 8, 2014
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Hidekazu Miyairi, Akihisa Shimomura, Tatsuya Mizoi, Eiji Higa, Yoji Nagano
  • Patent number: 8759198
    Abstract: A method for fabricating an integrated circuit (IC) includes initial oxidizing of a semiconductor surface of a substrate. The substrate is heated after the initial oxidizing using a plurality of furnace processing steps which each include a peak processing temperature between 800° C. and 1300° C. The furnace processing steps include at least one accelerated processing step having an accelerated ramp portion in a temperature range between 800° C. and 1250° C. providing an accelerated ramp-up rate and/or an |accelerated ramp-down rate| of at least (?) 5.5° C./min.
    Type: Grant
    Filed: August 13, 2012
    Date of Patent: June 24, 2014
    Assignee: Texas Instruments Incorporated
    Inventors: Bradley David Sucher, Rick L. Wise
  • Patent number: 8664746
    Abstract: A silicon on insulater (SOI) wafer is provided. A dielectric layer is formed on an active silicon substrate of the wafer. The dielectric layer is patterned and etched to expose selected portions of the silicon substrate. Impurities are then introduced into the exposed portions of the silicon substrate to act as gettering regions. The dielectric layer is then removed and an epitaxial layer of silicon is grown on the silicon substrate. Trenches are etched in the epitaxial layer of silicon through the gettering regions, partially removing the gettering regions and any contaminants contained therein. Remaining portions of the gettering regions still act as gettering regions during subsequent process steps.
    Type: Grant
    Filed: September 20, 2011
    Date of Patent: March 4, 2014
    Assignee: STMicroelectronics Pte. Ltd.
    Inventors: Janusz Karol Korycinski, Wanliang Wen
  • Patent number: 8618536
    Abstract: A planarization film is formed as a silicon oxide monolayer using, for instance, a spin coat method, through, for example, applying a silicon-containing organic solvent to an upper portion of a TFT layer and planarizing an upper surface of a resist film made up of a silicon-containing organic solvent, heating a predetermined processing fluid, e.g., peroxymonosulfuric acid, and discharging the processing fluid heated to, for example, 150° C., onto the planarized upper surface of the resist film such that organic components of the resist film are dissolved while silicon in the resist film is oxidized by the processing fluid.
    Type: Grant
    Filed: December 19, 2012
    Date of Patent: December 31, 2013
    Assignee: Panasonic Corporation
    Inventor: Kou Sugano
  • Patent number: 8587025
    Abstract: A method for forming a laterally varying n-type doping concentration is provided. The method includes providing a semiconductor wafer with a first surface, a second surface arranged opposite to the first surface and a first n-type semiconductor layer having a first maximum doping concentration, implanting protons of a first maximum energy into the first n-type semiconductor layer, and locally treating the second surface with a masked hydrogen plasma. Further, a semiconductor device is provided.
    Type: Grant
    Filed: July 3, 2012
    Date of Patent: November 19, 2013
    Assignee: Infineon Technologies AG
    Inventors: Hans-Joachim Schulze, Franz-Josef Niedernostheide, Yvonne Gawlina
  • Patent number: 8404570
    Abstract: Graded core/shell semiconductor nanorods and shapped nanorods are disclosed comprising Group II-VI, Group III-V and Group IV semiconductors and methods of making the same. Also disclosed are nanorod barcodes using core/shell nanorods where the core is a semiconductor or metal material, and with or without a shell. Methods of labeling analytes using the nanorod barcodes are also disclosed.
    Type: Grant
    Filed: November 3, 2010
    Date of Patent: March 26, 2013
    Assignee: The Regents of the University of California
    Inventors: A. Paul Alivisatos, Erik C. Scher, Liberato Manna
  • Publication number: 20130069203
    Abstract: A silicon on insulater (SOI) wafer is provided. A dielectric layer is formed on an active silicon substrate of the wafer. The dielectric layer is patterned and etched to expose selected portions of the silicon substrate. Impurities are then introduced into the exposed portions of the silicon substrate to act as gettering regions. The dielectric layer is then removed and an epitaxial layer of silicon is grown on the silicon substrate. Trenches are etched in the epitaxial layer of silicon through the gettering regions, partially removing the gettering regions and any contaminants contained therein. Remaining portions of the gettering regions still act as gettering regions during subsequent process steps.
    Type: Application
    Filed: September 20, 2011
    Publication date: March 21, 2013
    Applicant: STMICROELECTRONICS PTE. LTD.
    Inventors: Janusz Karol Korycinski, Wanliang Wen
  • Patent number: 8399280
    Abstract: A method for protecting, against laser attacks, an integrated circuit chip formed inside and on top of a semiconductor substrate and including in the upper portion of the substrate an active portion in which are formed components, this method including the steps of: forming in the substrate a gettering area extending under the active portion, the upper limit of the area being at a depth ranging between 5 and 50 ?m from the upper surface of the substrate; and introducing diffusing metal impurities into the substrate.
    Type: Grant
    Filed: October 4, 2010
    Date of Patent: March 19, 2013
    Assignee: STMicroelectronics (Rousset) SAS
    Inventors: Pascal Fornara, Fabrice Marinet
  • Patent number: 8382894
    Abstract: Silicon wafers wherein slip dislocations and warpages during device production are suppressed, contain BMDs with an octahedral shape, and of BMDs at a depth greater than 50 ?m from the surface of the wafer, the density of BMDs with diagonal size of 10 nm to 50 nm is ?1×1012/cm3, and the density of BSFs is ?1×108/cm3. The present silicon wafers preferably have an interstitial oxygen concentration of 4×1017 atoms/cm3 to 6×1017 atoms/cm3, and a density of BMDs with diagonal size of ?200 nm of not more than 1×107/cm3.
    Type: Grant
    Filed: October 26, 2009
    Date of Patent: February 26, 2013
    Assignee: Siltronic AG
    Inventors: Katsuhiko Nakai, Masayuki Fukuda
  • Patent number: 8329563
    Abstract: A device and a device manufacturing process. First, a gettering layer is formed on the bottom surface of a silicon substrate. Gates having a MOS structure are then formed on the principal surface of the silicon substrate, and the gettering layer is removed. According to this manufacturing method, the formation of the gates having a MOS structure is performed such that the gettering layer getters dissolved oxygen present in the silicon substrate. This reduces the concentration of dissolved oxygen in the silicon substrate, resulting in improved device characteristics.
    Type: Grant
    Filed: February 24, 2006
    Date of Patent: December 11, 2012
    Assignee: Mitsubishi Denki Kabushiki Kaisha
    Inventors: Tadaharu Minato, Hidekazu Yamamoto
  • Patent number: 8309436
    Abstract: A method of producing an epitaxial substrate for a solid-state imaging device, comprising: forming a gettering sink by injecting laser beam to a semiconductor substrate through one surface thereof, condensing the laser beam to an arbitrarily selected portion of the semiconductor substrate, thereby causing multi-photon absorption process to occur in the portion, and forming a gettering sink having a modified crystal structure; and epitaxially growing at least two epitaxial layers on the semiconductor substrate in which the gettering sink is formed.
    Type: Grant
    Filed: May 28, 2010
    Date of Patent: November 13, 2012
    Assignee: Sumco Corporation
    Inventor: Kazunari Kurita
  • Patent number: 8293613
    Abstract: An embodiment of a semiconductor device includes a semiconductor substrate, a first insulating layer formed over the semiconductor substrate, and a first semiconductor layer formed over the first insulation layer. At least one gettering region is formed in at least one of the first insulating layer and the first semiconductor layer. The gettering region includes a plurality of gettering sites, and at least one gettering site includes one of a precipitate, a dispersoid, an interface with the dispersoid, a stacking fault and a dislocation.
    Type: Grant
    Filed: November 29, 2010
    Date of Patent: October 23, 2012
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Young-Soo Park, Young-Nam Kim, Young-Sam Lim, Gi-Jung Kim, Pil-Kyu Kang
  • Patent number: 8193068
    Abstract: To provide an SOI substrate with an SOI layer that can be put into practical use, even when a substrate with a low allowable temperature limit such as a glass substrate is used, and to provide a semiconductor substrate formed using such an SOI substrate. In order to bond a single-crystalline semiconductor substrate to a base substrate such as a glass substrate, a silicon oxide film formed by CVD with organic silane as a source material is used as a bonding layer, for example. Accordingly, an SOL substrate with a strong bond portion can be formed even when a substrate with an allowable temperature limit of less than or equal to 700° C. such as a glass substrate is used. A semiconductor layer separated from the single-crystalline semiconductor substrate is irradiated with a laser beam so that the surface of the semiconductor layer is planarized and the crystallinity thereof is recovered.
    Type: Grant
    Filed: February 2, 2011
    Date of Patent: June 5, 2012
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Shunpei Yamazaki, Eiji Higa, Yoji Nagano, Tatsuya Mizoi, Akihisa Shimomura
  • Patent number: 8177989
    Abstract: A copper conducting wire structure is for use in the thin-film-transistor liquid crystal display (LCD) device. The copper conducting wire structure includes at least a buffer layer and a copper layer. A fabricating method of the copper conducting wire structure includes the following steps. At first, a glass substrate is provided. Next, the buffer layer is formed on the glass substrate. The buffer layer is comprised of a copper nitride. At last, the copper layer is formed on the buffer layer.
    Type: Grant
    Filed: August 10, 2007
    Date of Patent: May 15, 2012
    Assignee: AU Optronics Inc.
    Inventors: Feng-Yuan Gan, Han-Tu Lin, Kuo-Yuan Tu
  • Patent number: 8143145
    Abstract: A method of producing, at atmospheric pressure, an n-type semiconductive indium sulfide thin film on a substrate using an indium-containing precursor, hydrogen sulfide as a reactive gaseous precursor, and an inert carrier gas stream includes cyclically repeating first and second steps so as to produce an indium sulfide thin film of a desired thickness. The first method phase includes converting the indium-containing precursor to at least one of a dissolved and a gaseous phase, heating the substrate to a temperature in a range of 100° C. to 275° C., directing the indium containing precursor onto the substrate and supplying hydrogen sulfide to the indium-containing precursor in a mixing zone in an amount so as to provide an absolute concentration of hydrogen sulfide that is greater than zero and no greater than 1% by volume. The indium concentration of the indium-containing precursor is set so as to produce a compact In(OHx,Xy,Sz)3 film, where X=halide and x+y+2z=1 with z?0.
    Type: Grant
    Filed: March 14, 2009
    Date of Patent: March 27, 2012
    Assignee: Helmholtz-Zentrum Berlin fuer Materialien und Energie GmbH
    Inventors: Nicholas Allsop, Christian-Herbert Fischer, Sophie Gledhill, Martha Christina Lux-Steiner
  • Patent number: 8133769
    Abstract: A method for forming gettering sites and gettering impurities in a substrate layer includes producing a first masking layer over the substrate layer and patterning the masking layer to define openings at locations where trenches will be formed in the substrate layer at a later time. Ions are then implanted into the substrate layer to produce gettering sites. The gettering sites are disposed at a depth in the substrate layer such that the sites are removed when the trenches are formed. The first masking layer is removed and impurities driven to the gettering sites by thermally processing the substrate layer. A second masking layer is then produced over the substrate layer and patterned to define openings at locations where the trenches will be formed. The substrate layer is etched to produce the trenches. The gettering sites and gettered impurities are removed when the trenches are etched into the substrate layer.
    Type: Grant
    Filed: December 17, 2010
    Date of Patent: March 13, 2012
    Assignee: Truesense Imaging, Inc.
    Inventor: Cristian A. Tivarus
  • Patent number: 8093089
    Abstract: Method of manufacturing image sensors having a plurality of gettering regions. In the method, a gate electrode may be formed on a semiconductor substrate. A source/drain region may be formed in the semiconductor substrate to be overlapped with the gate electrode. A gettering region may be formed in the semiconductor substrate to be adjacent to the source/drain region.
    Type: Grant
    Filed: April 19, 2010
    Date of Patent: January 10, 2012
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Hyun-Pil Noh
  • Patent number: 8043935
    Abstract: An object is to manufacture a semiconductor substrate having a single crystal semiconductor layer with favorable characteristics, without requiring CMP treatment and/or heat treatment at high temperature. In addition, another object is to improve productivity of semiconductor substrates. Vapor-phase epitaxial growth is performed by using a first single crystal semiconductor layer provided over a first substrate as a seed layer, whereby a second single crystal semiconductor layer is formed over the first single crystal semiconductor layer, and separation is performed at an interface of the both layers. Thus, the second single crystal semiconductor layer is transferred to the second substrate to provide a semiconductor substrate, and the semiconductor substrate is reused by performing laser light treatment on the seed layer.
    Type: Grant
    Filed: November 19, 2009
    Date of Patent: October 25, 2011
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Fumito Isaka, Sho Kato, Yu Arita, Akihisa Shimomura
  • Patent number: 7993987
    Abstract: A method includes providing a substrate including a non-insulative, silicon-including region for silicidation, the substrate including one or more contaminants at a top surface thereof. A getter layer is deposited over the non-insulative, silicon-including region, the getter layer reacting with at least one of the one or more contaminants in the non-insulative, silicon-including region at approximately room temperature. The getter layer is removed, and siliciding of the non-insulative, silicon-including region is performed.
    Type: Grant
    Filed: October 14, 2010
    Date of Patent: August 9, 2011
    Assignee: International Business Machines Corporation
    Inventors: Randolph F. Knarr, Christian Lavoie, Ahmet S. Ozcan, Filippos Papadatos
  • Patent number: 7897476
    Abstract: To provide an SOI substrate with an SOI layer that can be put into practical use, even when a substrate with a low allowable temperature limit such as a glass substrate is used, and to provide a semiconductor substrate formed using such an SOI substrate. In order to bond a single-crystalline semiconductor substrate to a base substrate such as a glass substrate, a silicon oxide film formed by CVD with organic silane as a source material is used as a bonding layer, for example. Accordingly, an SOI substrate with a strong bond portion can be formed even when a substrate with an allowable temperature limit of less than or equal to 700° C. such as a glass substrate is used. A semiconductor layer separated from the single-crystalline semiconductor substrate is irradiated with a laser beam so that the surface of the semiconductor layer is planarized and the crystallinity thereof is recovered.
    Type: Grant
    Filed: March 31, 2008
    Date of Patent: March 1, 2011
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Shunpei Yamazaki, Eiji Higa, Yoji Nagano, Tatsuya Mizoi, Akihisa Shimomura
  • Patent number: 7820524
    Abstract: A manufacturing method of an SOI substrate which possesses a base substrate having low heat resistance and a very thin semiconductor layer having high planarity is demonstrated. The method includes: implanting hydrogen ions into a semiconductor substrate to form an ion implantation layer; bonding the semiconductor substrate and a base substrate such as a glass substrate, placing a bonding layer therebetween; heating the substrates bonded to each other to separate the semiconductor substrate from the base substrate, leaving a thin semiconductor layer over the base substrate; irradiating the surface of the thin semiconductor layer with laser light to improve the planarity and recover the crystallinity of the thin semiconductor layer; and thinning the thin semiconductor layer. This method allows the formation of an SOI substrate which has a single-crystalline semiconductor layer with a thickness of 100 nm or less over a base substrate.
    Type: Grant
    Filed: March 28, 2008
    Date of Patent: October 26, 2010
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Hidekazu Miyairi, Akihisa Shimomura, Tatsuya Mizoi, Eiji Higa, Yoji Nagano
  • Patent number: 7799623
    Abstract: A semiconductor device includes: a semiconductor substrate having a first semiconductor layer, an insulation layer and a second semiconductor layer, which are stacked in this order; a LDMOS transistor disposed on the first semiconductor layer; and a region having a dielectric constant, which is lower than that of the first or second semiconductor layer. The region contacts the insulation layer, and the region is disposed between a source and a drain of the LDMOS transistor. The device has high withstand voltage in a direction perpendicular to the substrate.
    Type: Grant
    Filed: December 15, 2009
    Date of Patent: September 21, 2010
    Assignee: DENSO CORPORATION
    Inventor: Akira Yamada
  • Patent number: 7799660
    Abstract: The present invention provides a method for manufacturing an SOI substrate by which an oxygen ion is implanted from at least one of main surfaces of a single-crystal silicon substrate to form an oxygen-ion-implanted layer and then an oxide film-forming heat treatment that changes the formed oxygen-ion-implanted layer into a buried oxide film layer is performed with respect to the single-crystal silicon substrate to manufacture the SOI substrate, the method comprising: implanting a neutral element ion having a dose amount of 1×1012 atoms/cm2 or above and less than 1×1015 atoms/cm2 into a back surface to form an ion-implanted damage layer after performing the oxide film-forming heat treatment; and gettering a metal impurity in the ion-implanted damage layer by a subsequent heat treatment to enable reducing a metal impurity concentration on a front surface side.
    Type: Grant
    Filed: April 1, 2008
    Date of Patent: September 21, 2010
    Assignee: Shin-Etsu Handotai Co., Ltd.
    Inventors: Tohru Ishizuka, Hiroshi Takeno, Nobuhiko Noto
  • Patent number: 7763500
    Abstract: First, a base structure provided with the main parts of a memory cell is prepared, and a lower electrode comprising a polycrystalline silicon film is thereafter formed on the base structure. Next, the surface of the lower electrode is thermally nitrided at a predetermined temperature to form a silicon nitride film. In the thermal nitridation of the lower electrode, the temperature is increased to a predetermined nitriding temperature, after which the temperature is reduced at a rate that is more gradual than usual. Aluminum oxide (Al2O3) or another metal oxide dielectric film is thereafter formed as the capacitive insulating film on the lower electrode, and an upper electrode is formed on the capacitive insulating film.
    Type: Grant
    Filed: August 3, 2006
    Date of Patent: July 27, 2010
    Assignee: Elpida Memory, Inc.
    Inventors: Takashi Arao, Kenichi Koyanagi, Kenji Komeda, Naruhiko Nakanishi, Hideki Gomi
  • Patent number: 7700394
    Abstract: There is obtained a silicon wafer which has a large diameter, where no slip generated therein in a wide range of a density of oxygen precipitates even though a heat treatment such as SLA or FLA is applied thereto, and which has high strength. First, by inputting as input parameters combinations of a plurality of types of oxygen concentrations and thermal histories set for manufacture of a silicon wafer, a Fokker-Planck equation is solved to calculate each of a diagonal length L and a density D of oxygen precipitates in the wafer after a heat treatment step to form the oxygen precipitates (11) and immediately before a heat treatment step of a device manufacturing process is calculated.
    Type: Grant
    Filed: June 21, 2005
    Date of Patent: April 20, 2010
    Assignee: Sumco Corporation
    Inventors: Shinsuke Sadamitsu, Wataru Sugimura, Masanori Akatsuka, Masataka Hourai
  • Patent number: 7687329
    Abstract: One aspect of this disclosure relates to a method for creating proximity gettering sites in a silicon on insulator (SOI) wafer. In various embodiments of this method, a relaxed silicon germanium region is formed over an insulator region of the SOI to be proximate to a device region. The relaxed silicon germanium region generates defects to getter impurities from the device region. Other aspects are provided herein.
    Type: Grant
    Filed: July 27, 2006
    Date of Patent: March 30, 2010
    Assignee: Micron Technology, Inc.
    Inventor: Leonard Forbes
  • Patent number: 7651921
    Abstract: There is a method of forming a contact post and surrounding isolation trench in a semiconductor-on-insulator (SOI) substrate. The method comprises etching a contact hole and surrounding isolation trench from an active layer of the substrate to the insulating layer, masking the trench and further etching the contact hole to the base substrate layer, filling the trench and contact hole with undoped intrinsic polysilicon and then performing a doping process in respect of the polysilicon material filling the contact hole so as to form in situ a highly doped contact post, while the material filling the isolation trench remains non-conductive. The isolation trench and contact post are formed substantially simultaneously so as to avoid undue interference with the device fabrication process.
    Type: Grant
    Filed: October 13, 2005
    Date of Patent: January 26, 2010
    Assignee: NXP B.V.
    Inventor: Wolfgang Rauscher
  • Patent number: 7645682
    Abstract: The invention relates to improvements in a method for molecularly bonding first and second substrates together by placing them in surface to surface contact. The improvement includes, prior to placing the substrates in contact, cleaning the surface of one or both of the substrates in a manner to provide a cleaned surface that is slightly roughened compared to a conventionally polished surface, and heating at least one or both of the substrates prior to placing the substrates in contact while retaining the heating at least until the substrates are in surface to surface contact.
    Type: Grant
    Filed: October 16, 2007
    Date of Patent: January 12, 2010
    Assignee: S.O.I.Tec Silicon on Insulator Technologies
    Inventors: Sebastien Kerdiles, Willy Michel, Walter Schwarzenbach, Daniel Delprat
  • Patent number: 7326597
    Abstract: One aspect of this disclosure relates to a method for creating a gettering site in a semiconductor wafer. In various embodiments, a predetermined arrangement of a plurality of holes is formed in the semiconductor wafer through a surface of the wafer. The wafer is annealed such that the wafer undergoes a surface transformation to transform the arrangement of the plurality of holes into a predetermined arrangement of at least one empty space of a predetermined size within the wafer to form the gettering site. One aspect relates to a semiconductor wafer. In various embodiments, the wafer includes at least one device region, and at least one gettering region located proximate to the at least one device region. The gettering region includes a precisely-determined arrangement of a plurality of precisely-formed voids that are formed within the wafer using a surface transformation process. Other aspects and embodiments are provided herein.
    Type: Grant
    Filed: June 27, 2005
    Date of Patent: February 5, 2008
    Assignee: Micron Technology, Inc.
    Inventors: Leonard Forbes, Joseph E. Geusic
  • Patent number: 7297630
    Abstract: A method of fabricating a via and a trench is disclosed. A disclosed method comprises: forming a via hole and a trench in a interlayer dielectric layer on a semiconductor substrate where a predetermined device is formed; depositing a thin Hf layer on the substrate; performing a thermal treatment of the substrate to getter oxygen and forming a barrier layer; and filling copper into the via hole and the trench.
    Type: Grant
    Filed: December 30, 2004
    Date of Patent: November 20, 2007
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Jung Joo Kim
  • Publication number: 20070212846
    Abstract: A substrate processing apparatus includes a substrate processing unit for performing a process on substrates; a recipe protection unit for prohibiting processing conditions for the process from being changed while the process is being performed on a specific number of substrates; a protection cancellation unit for canceling a prohibition of a change in the process to allow the processing conditions to be changed while the process is being performed on the specific number of substrates; and a modifying unit for modifying the processing conditions. Further, a method for examining substrate processing conditions includes a protection cancellation step of canceling a prohibition of a change in the process to allow the processing conditions to be changed while the process is being performed on the specific number of substrates; and a modifying step of modifying the processing conditions.
    Type: Application
    Filed: March 6, 2007
    Publication date: September 13, 2007
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Takeshi Yokouchi, Fumiko Yagi
  • Patent number: 7229891
    Abstract: Semiconductor devices have device regions in which semiconductor properties such as spreading resistivity and its profile are significant. In making a p-type device region on a semiconductor wafer, an initial semiconductor device region is defined by a buried region, and an initial spreading resistivity profile is developed by annealing. After annealing, semiconductor device properties can be enhanced by removing a surface sub-region of the initial device region, and can be further improved by epitaxially growing thereon a monocrystalline film as an improved channel layer for FET devices. Such properties are relevant in MOS as well as bipolar devices.
    Type: Grant
    Filed: March 6, 2001
    Date of Patent: June 12, 2007
    Inventor: John Howard Coleman
  • Patent number: 7155803
    Abstract: A pressure sensor includes a pressure sensor house assembly which contains a reference cavity, in which a vacuum exists, and a getter capable of being thermally activated. The getter is activated by directly contacting the getter with an exterior heated body, conducting heat from the exterior heated body, maintaining the exterior heated body in direct contact with the getter for a predetermined period of time, and removing the exterior heated body.
    Type: Grant
    Filed: February 10, 2004
    Date of Patent: January 2, 2007
    Assignee: MKS Instruments Inc.
    Inventor: Staffan Jonsson
  • Patent number: 7084048
    Abstract: A process for removing a contaminant selected from among copper, nickel, and a combination thereof from a silicon wafer having a surface and an interior. The process comprises cooling the silicon wafer in a controlled atmosphere from a temperature at or above an oxidation initiation temperature and initiating a flow of an oxygen-containing atmosphere at said oxidation initiation temperature to create an oxidizing ambient around the silicon wafer surface to form an oxide layer on the silicon wafer surface and a strain layer at an interface between the oxide layer and the silicon wafer interior. The cooling of the wafer is also controlled to permit diffusion of atoms of the contaminant from the silicon wafer interior to the strain layer. Then the silicon wafer is then cleaned to remove the oxide layer and the strain layer, thereby removing said contaminant having diffused to the strain layer.
    Type: Grant
    Filed: May 7, 2004
    Date of Patent: August 1, 2006
    Assignee: MEMC Electronic Materials, Inc.
    Inventors: Larry W. Shive, Brian L. Gilmore
  • Patent number: 7078312
    Abstract: Plasma etch processes incorporating etch chemistries which include hydrogen. In particular, high density plasma chemical vapor deposition-etch-deposition processes incorporating etch chemistries which include hydrogen that can effectively fill high aspect ratio (typically at least 3:1, for example 6:1, and up to 10:1 or higher), narrow width (typically sub 0.13 micron, for example 0.1 micron or less) gaps while reducing or eliminating chamber loading and redeposition and improving wafer-to-wafer uniformity relative to conventional deposition-etch-deposition processes which do not incorporate hydrogen in their etch chemistries.
    Type: Grant
    Filed: September 2, 2003
    Date of Patent: July 18, 2006
    Assignee: Novellus Systems, Inc.
    Inventors: Siswanto Sutanto, Wenxian Zhu, Waikit Fung, Mayasari Lim, Vishal Gauri, George D. Papasouliotis
  • Patent number: 7064414
    Abstract: A structure and associated method for annealing a trapped charge from a semiconductor device. The semiconductor structure comprises a substrate and a first heating element. The substrate comprises a bulk layer, an insulator layer and a device layer. The first heating element is formed within the bulk layer. A first side of the first heating element is adjacent to a first portion of the insulator layer. The first heating element is adapted to be selectively activated to generate thermal energy to heat the first portion of the insulator layer and anneal a trapped electrical charge from the first portion of the insulator layer.
    Type: Grant
    Filed: November 12, 2004
    Date of Patent: June 20, 2006
    Assignee: International Business Machines Corporation
    Inventors: John M Aitken, Ethan H. Cannon, Philip J. Oldiges, Alvin W. Strong
  • Patent number: 6958264
    Abstract: A method of manufacturing a semiconductor device on a silicon-on-insulator wafer including a silicon active layer having at least two die pads formed thereon, the at least two die pads separated by at least one scribe lane, including the steps of forming at least one cavity through the silicon active layer in the at least one scribe lane; forming at least one gettering plug in each said cavity, each said gettering plug comprising doped fill material containing a plurality of gettering sites; and subjecting the wafer to conditions to getter at least one impurity into the plurality of gettering sites.
    Type: Grant
    Filed: April 3, 2001
    Date of Patent: October 25, 2005
    Assignee: Advanced Micro Devices, Inc.
    Inventor: Ming-Ren Lin
  • Patent number: 6929984
    Abstract: One aspect of this disclosure relates to a method for creating a gettering site in a semiconductor wafer. In various embodiments, a predetermined arrangement of a plurality of holes is formed in the semiconductor wafer through a surface of the wafer. The wafer is annealed such that the wafer undergoes a surface transformation to transform the arrangement of the plurality of holes into a predetermined arrangement of at least one empty space of a predetermined size within the wafer to form the gettering site. One aspect relates to a semiconductor wafer. In various embodiments, the wafer includes at least one device region, and at least one gettering region located proximate to the at least one device region. The gettering region includes a precisely-determined arrangement of a plurality of precisely-formed voids that are formed within the wafer using a surface transformation process. Other aspects and embodiments are provided herein.
    Type: Grant
    Filed: July 21, 2003
    Date of Patent: August 16, 2005
    Assignee: Micron Technology Inc.
    Inventors: Leonard Forbes, Joseph E. Geusic
  • Patent number: 6830986
    Abstract: An SOI semiconductor device includes at least an SOI substrate including an insulating film and a semiconductor layer formed on the insulating film; and an active semiconductor element formed on the semiconductor layer. The active semiconductor element is formed in an element formation region surrounded by an isolating region for isolating the semiconductor layer in a form of an island. A gettering layer containing a high concentration impurity is formed in a portion of the semiconductor layer excluding the element formation region in which the active semiconductor element is formed, and the gettering layer is not formed in the element formation region in which the active semiconductor element is formed.
    Type: Grant
    Filed: January 17, 2003
    Date of Patent: December 14, 2004
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Katsushige Yamashita, Hisaji Nisimura, Hiromu Yamazaki, Masaki Inoue, Yoshinobu Satoh
  • Publication number: 20040180505
    Abstract: The present invention provides an epitaxial wafer wherein a silicon epitaxial layer is formed on a surface of a silicon single crystal wafer in which nitrogen is doped, and a density of oxide precipitates having such a size that a gettering capability can be achieved in a bulk is 108 numbers/cm3 or more. And the present invention also provides a method for producing an epitaxial wafer wherein a silicon single crystal in which nitrogen is doped is pulled by Czochralski method, the silicon single crystal is processed into a wafer to produce a silicon single crystal wafer, and the silicon single crystal wafer is subjected to heat treatment so that a density of oxide precipitates having such a size that a gettering capability can be achieved in a bulk of the wafer may be 108 numbers/cm3 or more, and then the silicon single crystal wafer is subjected to epitaxial growth. A silicon single crystal wafer which surely has a high gettering capability irrespective of a device process can be obtained herewith.
    Type: Application
    Filed: February 18, 2004
    Publication date: September 16, 2004
    Inventor: Satoshi Tobe
  • Publication number: 20040150087
    Abstract: On a silicon layer of an SOI wafer is defined a semiconductor device-forming region to form semiconductor devices thereon and an insulating region to electrically insulate the semiconductor device-forming region. Then, a mask layer is formed of nitride by means of photolithography so as to cover the semiconductor device-forming region. Then, an impurities-removing layer is formed by means of well known technique so as to cover the mask layer and embed the gaps between the adjacent masks of the mask layer. The impurities of the silicon layer of the SOI wafer are absorbed and removed by the distorted layer, the grain boundaries and the lattice defects of the impurities-removing layer.
    Type: Application
    Filed: November 10, 2003
    Publication date: August 5, 2004
    Applicants: HYOGO PREFECTURE, JAPAN SOCIETY FOR THE PROMOTION OF SCIENCE
    Inventors: Seigo Kishino, Hideki Tsuya
  • Patent number: 6767782
    Abstract: Charge-up damages to a substrate are reduced in a manufacturing process using plasma, and the reliability of a semiconductor device is improved. By forming an insulating film on the back of a substrate before a step of forming a first wiring layer, even if a plasma CVD method, a sputtering method, or a dry-etching method is used in a wiring-forming step executed later, then it is possible to suppress electric charges which are generated on the substrate and which flow to the ground potential through the substrate, and to prevent damages to the substrate due to charge-up.
    Type: Grant
    Filed: February 26, 2002
    Date of Patent: July 27, 2004
    Assignees: Renesas Technology Corp., Hitachi Tokyo Electronics Co., Ltd.
    Inventors: Takeshi Saikawa, Ryohei Maeno, Sadayuki Okudaira, Tetsuo Saito, Tsuyoshi Tamaru, Kazutoshi Ohmori
  • Publication number: 20040113223
    Abstract: A semiconductor device includes an elongated, blade-shaped semiconductor element isolated from a surrounding region of a semiconductor substrate by buried and side oxide layers. A polysilicon post disposed at one end of the element has a bottom portion extending through the buried oxide to contact the substrate, providing for electrical and thermal coupling between the element and the substrate and for gettering impurities during processing. A device fabrication process employs a selective silicon-on-insulator (SOI) technique including forming trenches in the substrate; passivating the upper portion of the element; and performing a long oxidation to create the buried oxide layer. A second oxidation is used to create an insulating oxide layer on the sidewalls of the semiconductor element, and polysilicon material is used to fill the trenches and to create the post.
    Type: Application
    Filed: December 17, 2002
    Publication date: June 17, 2004
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventors: Sheldon D. Haynie, Steven L. Merchant, Sameer P. Pendharkar, Vladimir Bolkhovsky
  • Patent number: 6735845
    Abstract: A method of manufacturing a pressure sensor house assembly which contains a reference cavity, in which a vacuum exists, and a getter capable of being thermally activated. The getter is activated by directly contacting the getter with an exterior heated body, conducting heat from the exterior heated body, maintaining the exterior heated body in direct contact with the getter for a predetermined period of time, and removing the exterior heated body.
    Type: Grant
    Filed: August 20, 1999
    Date of Patent: May 18, 2004
    Assignee: MKS Instruments Inc.
    Inventor: Staffan Jonsson