Patents Examined by Christopher Young
  • Patent number: 9851633
    Abstract: An inorganic material film containing tin within the concentration range of 0.1 atomic percent or higher but no higher than 11.5 atomic percent eliminates the problem in which tin localizes and forms into particles, with the result that these particles turn into defects in an optical film. An inorganic material film for a photomask blank according to the present invention film-formed by sputtering and composed of a chromium-containing material includes a light-shielding layer having electrical conductivity, wherein the light-shielding layer contains 0.1 atomic percent or higher but no higher than 11.5 atomic percent of tin and no higher than 15 atomic percent of oxygen. The lower limit of oxygen concentration is, for example, 3 atomic percent. The inorganic material film has electrical conductivity, which is preferably no higher than 5000 ?/cm2 when evaluated in terms of resistance values.
    Type: Grant
    Filed: June 23, 2016
    Date of Patent: December 26, 2017
    Assignee: SHIN-ETSU CHEMICAL CO., LTD.
    Inventors: Souichi Fukaya, Kouhei Sasamoto, Hideo Nakagawa
  • Patent number: 9851632
    Abstract: Disclosed is a phase-shift blankmask, in which a light-shielding film includes a metal compound and having a structure of a multi-layer film or a continuous film, which includes a first light-shielding layer and a second light-shielding layer. The second light-shielding layer has higher optical density at an exposure wavelength per unit thickness (?) than the first light-shielding layer. The first light-shielding layer occupies 70% to 90% of the whole thickness of the light-shielding film. With this, the blankmask secures a light-shielding effect, has an improved etching speed, and makes a resist film thinner, thereby achieving a fine pattern.
    Type: Grant
    Filed: July 21, 2015
    Date of Patent: December 26, 2017
    Assignee: S&S TECH CO., LTD.
    Inventors: Kee-Soo Nam, Cheol Shin, Chul-Kyu Yang, Jong-Hwa Lee, Min-Ki Choi, Chang-Jun Kim, Kyu-Jin Jang
  • Patent number: 9841686
    Abstract: An exposure method includes exposing a substrate to form a first pattern on a first layer, measuring a first alignment value of the first pattern, generating first correction data by using the first alignment value, storing the first correction data and exposing the substrate to form a second pattern on a second layer disposed on the first layer by using the first correction data.
    Type: Grant
    Filed: June 16, 2015
    Date of Patent: December 12, 2017
    Assignee: SAMSUNG DISPLAY CO., LTD.
    Inventors: Ik-Han Oh, Seung-Kyu Lee, Hyeon-Min Cho
  • Patent number: 9841687
    Abstract: The present disclosure relates to a method of semiconductor processing. The method includes, receiving a first wafer having a photoresist coating on a face of the first wafer. An exposure unit is used to perform a first number of radiation exposures on the photoresist coating, thereby forming an exposed photoresist coating. The exposed photoresist coating is developed, thereby forming a developed photoresist coating. An OVL measurement zone pattern is selected from a number of different, pre-determined OVL measurement zone patterns based on at least one of: the first number of radiation exposures performed on the first wafer or a previous number of radiation exposures performed on a previously processed wafer, which was processed before the first wafer. A number of OVL measurements are performed on the developed photoresist coating within the selected OVL measurement zone pattern.
    Type: Grant
    Filed: July 14, 2015
    Date of Patent: December 12, 2017
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Yung-Yao Lee, Heng-Hsin Liu, Jui-Chun Peng, Yung-Cheng Chen
  • Patent number: 9841668
    Abstract: A photomask includes a light transmission substrate having a transfer region and a frame region, a light-transmitting region exposing a portion of the light transmission substrate in the transfer region corresponding to a transfer pattern, a phase shift region surrounding the light-transmitting region in the transfer region. The phase shift region includes a first phase shift region surrounding the light-transmitting region and a second phase shift region surrounding the first phase shift region. A first phase shift pattern is disposed on the light transmission substrate in the first phase shift region, and a plurality of second phase shift patterns are disposed on the light transmission substrate in the second phase shift region.
    Type: Grant
    Filed: April 28, 2017
    Date of Patent: December 12, 2017
    Assignee: SK HYNIX INC.
    Inventor: Byung Ho Nam
  • Patent number: 9835939
    Abstract: The present disclosure relates to a gray-tone mask (GTM) and the manufacturing method thereof. The GTM includes at least one first light-blocking bar and at least on second light-blocking bar. A first gap is formed between any two adjacent first light-blocking bars. The second light-blocking bar is arranged within the first gap. The first gap includes a first crack being formed between adjacent first light-blocking bar and second light-blocking bar, wherein a length of the second light-blocking bar is “a”, a width of the first crack is “b”, and a ratio of the length of the second light-blocking bar (“a”) to the width of the first crack (“b”) satisfy the relationship: 0.9<a/b<1.1. In this way, the design scope is limited. Thus, a reasonable GTM design may be obtain and the experimental cost may be reduced.
    Type: Grant
    Filed: June 30, 2015
    Date of Patent: December 5, 2017
    Assignee: Shenzhen China Star Optoelectronics Technology Co., Ltd
    Inventors: Zhuming Deng, Feng Zhao, Chung-Yi Chiu
  • Patent number: 9835949
    Abstract: Here we disclose a lithographic pattern development process for amorphous fluoropolymers. Amorphous fluoropolymers are a class of plastic materials with high chemical inertness and favorable optical properties. Exposure of surface-deposited layers of such polymer with high energy radiation leads to a change in the chemical structure of the polymer, which selectively compromises the solubility of the exposed areas in fluorinated organic solvents. Micro- and nanopatterning with a feature size down to <50 nm was achieved by dissolving and removing unexposed amorphous fluoropolymer from exposed, surface deposited films. The amorphous fluoropolymer functions thus as a negative resist.
    Type: Grant
    Filed: September 4, 2013
    Date of Patent: December 5, 2017
    Inventors: Aldo Jesorka, Mehrnaz Shaali
  • Patent number: 9829786
    Abstract: A phase shift mask blank includes a transparent substrate, a phase shift layer, a first hard mask layer and an opaque layer. The transparent substrate is disposed on the transparent substrate. The first hard mask layer is disposed on the phase shift layer. The phase shift layer has an etching selectivity with respect to the first hard mask layer. The opaque layer is disposed on the first hard mask layer.
    Type: Grant
    Filed: June 29, 2015
    Date of Patent: November 28, 2017
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Chun-Lang Chen, Tzung-Shiun Liu
  • Patent number: 9829788
    Abstract: A method is provided for fabricating a photolithographic mask. The method includes providing a transparent substrate; and forming an opaque layer on the transparent substrate. The method also includes writing layout patterns with at least one sub-resolution assistant feature with non-uniform size along a longitudinal direction to increase an adhesion force between the sub-resolution assistant feature with non-uniform size along the longitudinal direction and the transparent substrate in the opaque layer. Further, the method include cleaning residual matters generated by writing the layout patterns in the opaque layer. Further, the method also includes spin-drying the transparent substrate with the layout patterns and the sub-resolution assistant feature with non-uniform size along the longitudinal direction.
    Type: Grant
    Filed: July 16, 2015
    Date of Patent: November 28, 2017
    Assignee: SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION
    Inventors: Boxiu Cai, Yi Huang
  • Patent number: 9817306
    Abstract: The present invention relates to an EUV pod having marks, which comprises a mask pod and one or more mark disposed on the mask pod. One or more sensor of a processing machine is used for detecting the one or more mark. By including the one or more mark, the surface roughness of one or more region of the mask pod detectable by the one or more sensor can be altered. The one or more sensor emits light to the mask pod, which reflects the light to the one or more sensor. The one or more sensor receives the reflection light from the mask pod and judges if the voltage generated by the reflection light falls within the reflection ranges of the mark. Thereby, whether the one or more sensor corresponds to the one or more make can be confirmed.
    Type: Grant
    Filed: September 23, 2015
    Date of Patent: November 14, 2017
    Assignee: Gudeng Precision Industrial Co., Ltd.
    Inventors: Wei-Yen Chen, Cheng-Ju Lee, Long-Ming Lu, Cheng-Hsin Chen, Tien-Jui Lin
  • Patent number: 9817309
    Abstract: Provided are photomasks, methods of fabricating the photomasks, and methods of fabricating a semiconductor device by using the photomasks, in which a critical dimension (CD) of a pattern of a specific region of the photomask is corrected to improve the distribution of CDs of the pattern formed on a wafer. The photomasks may include a substrate and a light-blocking pattern formed on the substrate that includes an absorber layer and an anti-reflection coating (ARC) layer. The light-blocking pattern may include at least one of a first corrected area in which a top surface of the absorber layer is exposed, and a second corrected area in which a correction layer is formed on the ARC layer.
    Type: Grant
    Filed: April 30, 2015
    Date of Patent: November 14, 2017
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Jung-hwan Lee, Byung-gook Kim, Sang-hyeon Lee
  • Patent number: 9798230
    Abstract: A photomask blank includes a chromium-based material film as a hard mask film containing at least one selected from the group consisting of nitrogen, oxygen, carbon and hydrogen, wherein a ratio (A/B) of etching rates per unit film thickness is in a range from 0.7 to 0.9, and the chromium-based material film has a tensile stress or compressive stress corresponding to an amount of warp of up to 70 nm. The present invention provides a photomask blank having a thin film of chromium-based material which is enhanced in etch resistance and lowered in film stress. This enables high-accuracy patterning of a chromium-based material film.
    Type: Grant
    Filed: September 11, 2015
    Date of Patent: October 24, 2017
    Assignee: SHIN-ETSU CHEMICAL CO., LTD.
    Inventors: Souichi Fukaya, Kouhei Sasamoto
  • Patent number: 9798248
    Abstract: The invention relates to a method for producing a structure in a lithographic material, wherein the structure in the lithographic material is defined by means of a writing beam of an exposure device, in that a plurality of partial structures are written sequentially, wherein for writing the partial structures a write field of the exposure device is displaced and positioned sequentially and that a partial structure is written in the write field in each case, and wherein for positioning of the write field a reference structure is detected by means of an imaging measuring device.
    Type: Grant
    Filed: July 16, 2015
    Date of Patent: October 24, 2017
    Assignee: Nanoscribe GmbH
    Inventors: Joerg Hoffmann, Philipp Simon, Michael Thiel, Martin Hermatschweiler, Holger Fischer
  • Patent number: 9798225
    Abstract: A method of characterizing a lithographic mask type uses a mask having thereon test pattern units of linear features at different orientations. The mask is exposed, rotated by angle, exposed again, rotated by a further angle, exposed, etc. The printed features are measured to determine one or more characteristics of the mask. The method can be used to model shadowing effects of a EUV mask with a thick absorber illuminated at an angle.
    Type: Grant
    Filed: October 14, 2014
    Date of Patent: October 24, 2017
    Assignee: ASML NETHERLANDS B.V.
    Inventors: Eelco Van Setten, Natalia Viktorovna Davydova, Eleni Psara, Anton Bernhard Van Oosten
  • Patent number: 9798229
    Abstract: A method for designing a photomask blank comprising a transparent substrate and an optical film thereon is provided. The photomask blank is processed into a transmissive photomask having a pattern of optical film such that the film pattern may be transferred when exposure light is transmitted by the photomask. The optical film is selected using a specific reflectance, which is equal to the reflectance divided by the film thickness, as an index.
    Type: Grant
    Filed: July 16, 2015
    Date of Patent: October 24, 2017
    Assignee: SHIN-ETSU CHEMICAL CO., LTD.
    Inventors: Kouhei Sasamoto, Hideo Kaneko, Yukio Inazuki, Souichi Fukaya
  • Patent number: 9791790
    Abstract: The present invention provides a method of aligning a quadrate wafer in a first photolithography process. The method includes: step A: fabricating mask aligning markers in a periphery region of a mask, which is used for a first exposure process of the quadrate wafer, around a mask pattern of the mask; step B: during the first exposure process, positioning the quadrate wafer in a preset region by using the mask aligning markers on the mask, and exposing the quadrate wafer through the mask; and step C: performing alignment for the quadrate wafer during a second exposure process and subsequent exposure processes by using aligning markers on the quadrate wafer that are obtained during the first exposure process. The method may be easily and reliably performed to ensure intact dies at periphery of a quadrate wafer to be produced and thus render increased yield of chips.
    Type: Grant
    Filed: January 3, 2014
    Date of Patent: October 17, 2017
    Assignee: INSTITUTE OF SEMICONDUCTORS, CHINESE ACADEMY OF SCIENCES
    Inventors: Jinmin Li, Junxi Wang, Qingfeng Kong, Jinxia Guo, Xiaoyan Yi
  • Patent number: 9791788
    Abstract: A method of manufacturing a semiconductor device includes irradiating a first photoresist layer via a light source, measuring a first exposure intensity of the first photoresist layer, irradiating a second photoresist layer via the light source, measuring a second exposure intensity of the second photoresist layer, subtracting the second exposure intensity from the first exposure intensity, and subsequent to the subtracting, exposing a third photoresist layer formed on a semiconductor substrate by using the light source, wherein an out-of-band (OoB) extreme ultraviolet (EUV) light eliminating layer is formed on the second photoresist layer.
    Type: Grant
    Filed: March 4, 2016
    Date of Patent: October 17, 2017
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Jin-seok Heo, In-sung Kim
  • Patent number: 9791786
    Abstract: Embodiments disclosed herein relate to an exposure pattern alteration software application which manipulates exposure polygons having lines with angles substantially close to angles of symmetry of a hex close pack arrangement, which suffer from long jogs. Long jogs present themselves as high edge placement error regions. As such, the exposure pattern alteration software application provides for line wave reduction by serrating polygon edges at affected angles to reduce edge placement errors during maskless lithography patterning in a manufacturing process.
    Type: Grant
    Filed: June 21, 2016
    Date of Patent: October 17, 2017
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Joseph R. Johnson, Christopher Dennis Bencher, Thomas L. Laidig
  • Patent number: 9778572
    Abstract: Cantilevers, SPM tips and nanomachining tools are created in the plane of wafers to obtain new and high performance parts. The method produces more parts for any given wafer, then conventional methods and allows every part on any given wafer to be different from any other, permitting great freedom in new SPM and nanomachining techniques and product development.
    Type: Grant
    Filed: August 2, 2016
    Date of Patent: October 3, 2017
    Inventor: Victor B. Kley
  • Patent number: 9772566
    Abstract: According to one embodiment, there is provided a mask alignment mark disposed on a photomask irradiated by an illumination optical system with illumination light from a direction inclined with respect to an optical axis and used to form a latent image on a substrate through a projection optical system. The mask alignment mark including a plurality of patterns arranged in a predetermined direction at a pitch of substantially P=?/{2×(1??)×(LNA)}, where ? is a ratio of a numerical aperture INA of illumination light incident on the photomask from the illumination optical system to a numerical aperture LNA of an object side of the projection optical system (INA)/(LNA), and ? is a wavelength of light.
    Type: Grant
    Filed: September 4, 2015
    Date of Patent: September 26, 2017
    Assignee: TOSHIBA MEMORY CORPORATION
    Inventors: Nobuhiro Komine, Kazuo Tawarayama