Patents Examined by Christopher Young
  • Patent number: 9772551
    Abstract: The defect size of a photomask blank is evaluated. An inspection-target photomask blank is irradiated with inspection light and reflected light of the region of the inspection-target photomask blank irradiated with the inspection light is collected through an objective lens of an inspection optical system as a magnified image of the region. Then, an intensity change part in the light intensity distribution profile of the magnified image is identified. Next, a difference in the light intensity of the intensity change part is obtained and the width of the intensity change part is obtained as the apparent width of the defect. Then, the width of the defect is calculated on the basis of a predetermined conversion expression showing the relationship among the difference in the light intensity, the apparent width of the defect, and the actual width of the defect, and the width of the defect is estimated.
    Type: Grant
    Filed: October 23, 2015
    Date of Patent: September 26, 2017
    Assignee: SHIN-ETSU CHEMICAL CO., LTD.
    Inventors: Tsuneo Terasawa, Takahiro Kishita, Daisuke Iwai, Hiroshi Fukuda, Atsushi Yokohata
  • Patent number: 9772561
    Abstract: An overlay measurement and correction method and device is provided. In an embodiment the measurement device takes measurements of a first semiconductor wafer and uses the measurements in a plurality of correction techniques to generate an overlay correction model. The plurality of correction techniques include a first order correction, a first intra-field high order parameter correction and a first inter-field high order parameter correction. The model is used to adjust the exposure parameters for the exposure of the next semiconductor wafer. The process is repeated on each semiconductor wafer for a run-to-run analysis.
    Type: Grant
    Filed: July 20, 2015
    Date of Patent: September 26, 2017
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Yung-Yao Lee, Heng-Hsin Liu, Yi-Ping Hsieh, Ying Ying Wang
  • Patent number: 9759996
    Abstract: The present invention is directed to a pellicle frame of a pellicle for use in photolithography, comprising: a coating of a layer containing a para-xylylene-based polymer. The invention can inhibit release of sulfate ions, ammonium ions and so on from the pellicle frame and thereby reduce the occurrence of haze under exposure environment.
    Type: Grant
    Filed: July 6, 2015
    Date of Patent: September 12, 2017
    Assignee: SHIN-ETSU CHEMICAL CO., LTD.
    Inventor: Jun Horikoshi
  • Patent number: 9746764
    Abstract: A mask blank, including: a thin film for forming a transfer pattern; a resist underlying film made of a resist underlying composition and provided on the thin film; a resist film made of a chemically amplified resist and provided on the resist underlying film; and a mixture film provided so as to be interposed between the resist underlying film and the resist film, wherein the resist underlying film is configured so that a molecular weight is reduced from the thin film side to the resist film side in a thickness direction, and has a low molecular weight region in which the molecular weight is low on the resist film side surface, and the mixture film is formed by mixing a component of the low molecular weight region and a component of the chemically amplified resist.
    Type: Grant
    Filed: September 26, 2014
    Date of Patent: August 29, 2017
    Assignees: HOYA CORPORATION, NISSAN CHEMICAL INDUSTRIES, LTD.
    Inventors: Takahiro Hiromatsu, Masahiro Hashimoto, Yasushi Sakaida, Ryuta Mizuochi, Rikimaru Sakamoto, Masaki Nagai
  • Patent number: 9740093
    Abstract: A pellicle is proposed in which a mask-bonding agglutinant layer, that bonds the pellicle to a photomask, is divided into segments, and the vacancies thus created between these segments are entirely occupied by segments of a non-resilient body layer, and these alternately arranged segments are flush with each other.
    Type: Grant
    Filed: December 16, 2015
    Date of Patent: August 22, 2017
    Assignee: SHIN-ETSU CHEMICAL CO., LTD.
    Inventor: Kazutoshi Sekihara
  • Patent number: 9726983
    Abstract: The present disclosure provides a method that includes forming a first patternable material layer on a substrate; forming a second patternable material layer over the first patternable material layer; and performing a charged particle beam lithography exposure process to the first patternable material layer and the second patternable material layer, thereby forming a first latent feature in the first patternable material layer.
    Type: Grant
    Filed: July 25, 2016
    Date of Patent: August 8, 2017
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Yen-Cheng Lu, Chih-Tsung Shih, Jeng-Horng Chen, Shinn-Sheng Yu, Anthony Yen
  • Patent number: 9719163
    Abstract: A method of manufacturing a deposition mask is disclosed. In one aspect, the method includes depositing a first photoresist layer on a substrate, aligning a first photomask over the first photoresist layer and developing the first photoresist layer to form a plurality of first photoresist patterns having sides that gradually narrow toward the substrate. The method also includes forming a metal layer over the first photoresist patterns and a portion of the substrate exposed by the first photoresist patterns, depositing a second photoresist layer over the metal layer and aligning a second photomask over the second photoresist layer and developing the second photoresist layer to form a plurality of second photoresist patterns between the first photoresist patterns. The method further includes etching the metal layer to form a pattern hole, removing the first and second photoresist patterns and separating the substrate so as to form a deposition mask.
    Type: Grant
    Filed: July 9, 2015
    Date of Patent: August 1, 2017
    Assignee: Samsung Display Co., Ltd.
    Inventor: Jeongwon Han
  • Patent number: 9715170
    Abstract: Provided are an optical proximity correction (OPC) method capable of correcting a slit-effect in an extreme ultraviolet (EUV) exposure process and a method of manufacturing an EUV mask by using the OPC method. The OPC method includes, dividing a transmission cross coefficient (TCC) according to regions of a slit that is used in an EUV exposure process, generating OPC models reflecting the TCCs that are divided, and correcting the OPC method.
    Type: Grant
    Filed: June 16, 2015
    Date of Patent: July 25, 2017
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sung-hoon Jang, Sang-hwa Lee
  • Patent number: 9715169
    Abstract: A method and system for fracturing or mask data preparation is disclosed in which a desired substrate pattern for a substrate is input. A plurality of charged particle beam shots is then determined which will form a reticle pattern on a reticle, where the reticle pattern will produce a substrate pattern on the substrate using an optical lithography process, wherein the substrate pattern is within a predetermined tolerance of the desired substrate pattern. A similar method and a similar system for forming a pattern on a reticle are also disclosed.
    Type: Grant
    Filed: May 17, 2016
    Date of Patent: July 25, 2017
    Assignee: D2S, Inc.
    Inventor: Akira Fujimura
  • Patent number: 9709885
    Abstract: A method for manufacturing a photomask blank having at least a silicon-containing inorganic film over a transparent substrate includes forming the silicon-containing inorganic film such that a surface has an oxygen concentration not less than 55 atomic percent and not more than 75 atomic percent, the silicon-containing inorganic film being an SiO film or an SiON film and serving as a hard mask film.
    Type: Grant
    Filed: October 3, 2016
    Date of Patent: July 18, 2017
    Assignee: SHIN-ETSU CHEMICAL CO., LTD.
    Inventors: Yukio Inazuki, Takashi Yoshii, Toyohisa Sakurada, Akira Ikeda, Hideo Kaneko, Satoshi Watanabe, Yoshio Kawai
  • Patent number: 9709905
    Abstract: A method for fabricating a semiconductor structure includes providing a substrate and a first layer over the substrate, wherein the first layer includes one or more overlay marks. The method further includes forming one or more layers on the first layer and performing a dark field (DF) inspection on the one or more overlay marks underlying the one or more layers to receive a post-film-formation data.
    Type: Grant
    Filed: September 10, 2015
    Date of Patent: July 18, 2017
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Bo-Jiun Lin, Hai-Ching Chen, Hsin-Chieh Yao, Tien-I Bao
  • Patent number: 9709902
    Abstract: A projection exposure tool for microlithography for imaging mask structures of an image-providing substrate onto a substrate to be structured includes a measuring apparatus configured to determine a relative position of measurement structures disposed on a surface of one of the substrates in relation to one another in at least one lateral direction with respect to the substrate surface and to thereby simultaneously measure a number of measurement structures disposed laterally offset in relation to one another.
    Type: Grant
    Filed: September 2, 2016
    Date of Patent: July 18, 2017
    Assignee: Carl Zeiss SMT GmbH
    Inventors: Jochen Hetzler, Aksel Goehnermeier
  • Patent number: 9703188
    Abstract: A pellicle is proposed in which the agglutinant layer which enable the pellicle to be adhered to a photomask is doped with a mechanoluminescent material so that the uniformness of the thickness of the agglutinant layer can be confirmed, when the pellicle is adhered to the photomask, by observing visually or by CCD camera for any irregularity in the pattern of the light emitted from the agglutinant layer.
    Type: Grant
    Filed: November 3, 2015
    Date of Patent: July 11, 2017
    Assignee: SHIN-ETSU CHEMICAL CO., LTD.
    Inventors: Jun Horikoshi, Yu Yanase
  • Patent number: 9690188
    Abstract: A method for manufacturing a photomask is provided. The method includes providing a flexible substrate, forming a plurality of microstructures on the flexible substrate, coating the flexible substrate with a shading material to form a shading layer on the substrate, and solidifying the shading layer which is a single layer.
    Type: Grant
    Filed: June 29, 2015
    Date of Patent: June 27, 2017
    Assignee: NATIONAL CHENG KUNG UNIVERSITY
    Inventors: Yung-Chun Lee, Chun-Ying Wu, Heng Hsieh, Yi-Ta Hsieh, Jhih-Nan Yan
  • Patent number: 9678434
    Abstract: Lithography methods disclosed herein accommodate shrinking pattern dimensions. An exemplary method includes receiving a pattern to be transferred to a workpiece by a pattern generator. The pattern generator is divided into a first segment set and a second segment set based on the pattern, such that a collective exposure dose from the first segment set and the second segment set satisfies an exposure dose specified by the pattern. The first segment set is offset from the second segment set in a first direction, and segments in the first segment set and segments in the second segment set are offset from each other in a second direction different than the first direction. The method further includes exposing the workpiece according to the first segment set and the second segment set.
    Type: Grant
    Filed: December 22, 2016
    Date of Patent: June 13, 2017
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Wen-Chuan Wang, Burn Jeng Lin, Jaw-Jung Shin, Pei-Yi Liu, Shy-Jay Lin
  • Patent number: 9664999
    Abstract: The present disclosure relates to an extreme ultraviolet (EUV) pellicle having a pellicle film connected to a pellicle frame. In some embodiments, the EUV pellicle has a substrate, and an adhesive material disposed onto the substrate. A pellicle frame is connected to the substrate by way of the adhesive material. The pellicle frame is configured to mount the substrate to an extreme ultraviolet (EUV) reticle.
    Type: Grant
    Filed: August 24, 2016
    Date of Patent: May 30, 2017
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Chih-Tsung Shih, Tien-Hsi Lee, Chia-Jen Chen, Shang-Chieh Chien, Shinn-Sheng Yu, Jeng-Horng Chen, Anthony Yen
  • Patent number: 9664997
    Abstract: Methods of manufacturing a mask blank and a transfer mask that reduce internal stress of a thin film. The methods include preparing a transparent substrate having a pair of opposing main surfaces and composed of a glass material having a hydrogen content of less than 7.4×1018 molecules/cm3, forming a thin film composed of a material containing silicon or metal on one of the main surfaces of the transparent substrate, and carrying out heating treatment or photo irradiation treatment on the transparent substrate with the thin film. The absolute value of a variation of flatness in a predetermined region, as calculated based on a difference in shape obtained from a shape of a main surface of the transparent substrate prior to forming the thin film and a shape of a main surface of the substrate exposed after removing the thin film, is not more than 100 nm.
    Type: Grant
    Filed: October 24, 2013
    Date of Patent: May 30, 2017
    Assignee: HOYA CORPORATION
    Inventors: Atsushi Kominato, Hiroaki Shishido, Osamu Nozawa
  • Patent number: 9664998
    Abstract: Photomask blanks are provided. One of the photomask blanks includes a light transmission substrate, a light blocking layer disposed on a top surface of the light transmission substrate, and a heat radiation layer disposed on sidewalls and a bottom surface of the light transmission substrate. Related photomasks and fabrication methods of the photomasks are also provided.
    Type: Grant
    Filed: June 12, 2015
    Date of Patent: May 30, 2017
    Assignee: SK HYNIX INC.
    Inventors: Eui Sang Park, Kung Hoon Nam
  • Patent number: 9664996
    Abstract: A photomask includes a light transmission substrate having a transfer region and a frame region, a light-transmitting region exposing a portion of the light transmission substrate in the transfer region corresponding to a transfer pattern, and a light-blocking region disposed in the transfer region and surrounding the light-transmitting region, wherein the light-blocking region includes a first light-blocking region surrounding the light-transmitting region, and a second light-blocking region that surrounds the first light-blocking region, and wherein a first light-blocking pattern is disposed on the light transmission substrate in the first light-blocking region, and a plurality of second light-blocking patterns are disposed on the light transmission substrate in the second light-blocking region.
    Type: Grant
    Filed: June 17, 2015
    Date of Patent: May 30, 2017
    Assignee: SK HYNIX INC.
    Inventor: Byung Ho Nam
  • Patent number: 9658526
    Abstract: A pellicle mask assembly includes a mask, a pellicle frame, and a pellicle membrane. The pellicle frame has a bottom side attached to the mask, and a top side covered by the pellicle membrane. The pellicle frame includes a coating on its inner surface and the coating is configured to monitor a change of environment inside the pellicle mask assembly. In embodiments, the change of environment includes increased humidity and/or increased chemical ion density inside the pellicle mask assembly. Methods of making and using the pellicle mask assembly are also disclosed.
    Type: Grant
    Filed: June 30, 2015
    Date of Patent: May 23, 2017
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Kuan-Wen Lin, Sheng-Chi Chin, Ting-Hao Hsu, Tzu-Ting Chou, Shu-Hsien Wu