Patents by Inventor Brent Anderson

Brent Anderson has filed for patents to protect the following inventions. This listing includes patent applications that are pending as well as patents that have already been granted by the United States Patent and Trademark Office (USPTO).

  • Publication number: 20230317802
    Abstract: A high aspect ratio contact structure formed within a dielectric material includes a top portion and a bottom portion. The top portion of the contact structure includes a tapering profile towards the bottom portion. A first metal stack surrounded by an inner spacer is located within the top portion of the contact structure and a second metal stack is located within the bottom portion of the contact structure. A width of the bottom portion of the contact structure is greater than a minimum width of the top portion of the contact structure.
    Type: Application
    Filed: March 29, 2022
    Publication date: October 5, 2023
    Inventors: Junli Wang, Brent A Anderson, Terence Hook, Indira Seshadri, Albert M. Young, Stuart Sieg, Su Chen Fan, Shogo Mochizuki
  • Publication number: 20230320055
    Abstract: Embodiments disclosed herein include a semiconductor structure. The semiconductor structure may include a static random access memory (SRAM) cell. The SRAM cell may include a first section of the SRAM cell with a first pull-up transistor, first pull-down transistor, and first pass-gate transistor. The SRAM cell may include a second section of the SRAM cell with a second pull-up transistor, second pull-down transistor, and second pass-gate transistor. The first section of the SRAM cell and the second section of the SRAM cell may be arranged in a non-rectangular cell layout with the first pass-gate located at a first end of the non-rectangular cell layout and the second pass-gate at a second end of the non-rectangular cell layout opposite the first end.
    Type: Application
    Filed: March 31, 2022
    Publication date: October 5, 2023
    Inventors: Brent A Anderson, Albert M Chu, Junli Wang, Hemanth Jagannathan
  • Publication number: 20230307447
    Abstract: An approach forming semiconductor structure composed of one or more stacked semiconductor devices that include at least a top semiconductor device, a bottom semiconductor device under the top semiconductor, and contacts to each of the semiconductor devices. The approach provides a stacked semiconductor structure where the bottom semiconductor device is wider than the top semiconductor device. The approach also provides the stacked semiconductor structure where the width of the top semiconductor device is the same as the width of the bottom semiconductor device. The approach includes forming a contact to a side of the bottom semiconductor device when the width of the top semiconductor device is the same as the bottom semiconductor device. The approach includes forming a contact to epitaxy grown on a portion of the top and a side of the bottom semiconductor device when the bottom semiconductor device is larger than the top semiconductor device.
    Type: Application
    Filed: March 22, 2022
    Publication date: September 28, 2023
    Inventors: GEN TSUTSUI, Albert M. Young, Su Chen Fan, Junli Wang, Brent A. Anderson
  • Publication number: 20230307453
    Abstract: A semiconductor structure including a first logic cell having a first plurality of nanosheet devices along an axis and a second logic cell having a second plurality of nanosheet devices along the axis. Nanosheets of the second plurality of nanosheet devices are wider than nanosheets of the first plurality of nanosheet devices. The first logic cell is a same type as the second logic cell. The first and second logic cells can include inverter circuits or NAND circuits or NOR circuits. When the first logic cell has a height X, a width Y, and an effective width (Weff) Z, then the second logic cell has a height 2X, a width Y, and Weff>2.5 Z.
    Type: Application
    Filed: March 22, 2022
    Publication date: September 28, 2023
    Inventors: Brent A Anderson, Junli Wang, Albert Chu
  • Patent number: 11764298
    Abstract: A semiconductor device is provided. The semiconductor device includes a buried power rail, a buried oxide (BOX) layer formed on the buried power rail, a plurality of channel fins formed on the BOX layer, a bottom epitaxial layer formed on the BOX layer and between the channel fins such that the BOX layer is between the buried power rail and the bottom epitaxial layer, a gate stack formed over the bottom epitaxial layer and contacting the channel fins, the gate stack including a work function metal (WFM) layer and a high-? layer, and a top epitaxial layer formed on the gate stack. In the semiconductor device, between two adjacent ones of the channel fins the BOX layer has an opening so that the bottom epitaxial layer is electrically connected to the buried power rail.
    Type: Grant
    Filed: September 17, 2021
    Date of Patent: September 19, 2023
    Assignee: International Business Machines Corporation
    Inventors: Chen Zhang, Ruilong Xie, Heng Wu, Junli Wang, Brent Anderson
  • Publication number: 20230243478
    Abstract: A sound and illumination device including a sound-making portion, an illumination portion, and an attachment portion for mounting on a bicycle. The sound-making portion including a lever, a spring, a striking portion, and a metal portion, wherein the metal portion covers the lever, the spring, and the striking portion. The lever may include a magnet. The illumination portion including a cover portion, an electrical circuit, a switch, a light emitting source and a power source. Actuation of the lever of the sound-making portion results in the activation of the light emitting source of the illumination portion resulting in the concurrent emission of light and sound.
    Type: Application
    Filed: November 14, 2022
    Publication date: August 3, 2023
    Applicant: Brightz, Ltd.
    Inventor: Brent ANDERSON
  • Publication number: 20230223447
    Abstract: Methods for fabricating a semiconductor device are provided. The method can include forming a conductive material layer on a semiconductor device, the semiconductor device including at least two gate structures and at least two source/drain surfaces of at least two source/drain regions, wherein an interlevel dielectric layer separates each of the at least two gate structures from each of the at least two source/drain surfaces, wherein the conductive material layer extends through openings of the interlevel dielectric layer, contacting the at least two source/drain surfaces and forming at least two conductive material interconnects, and wherein the conductive material layer extends over the interlevel dielectric layer, forming an interconnect mask over portions of the conductive material layer, wherein the conductive material layer includes an up-via and forming an interconnect by subtractively etching a portion of the conductive material layer, exposed through the interconnect mask.
    Type: Application
    Filed: January 7, 2022
    Publication date: July 13, 2023
    Inventors: Lawrence A. Clevenger, Nicholas Anthony Lanzillo, Benjamin D. Briggs, Kisik Choi, Brent A. Anderson
  • Publication number: 20230215767
    Abstract: A semiconductor structure includes a field effect transistor (FET) including a first source-drain region, a second source-drain region, a gate between the first and second source-drain regions, and a channel region under the gate and between the first and second source-drain regions. Also included are a front side wiring network, having a plurality of front side wires, on a front side of the field effect transistor; a front side conductive path electrically interconnecting one of the front side wires with the first source-drain region; a back side power rail, on a back side of the FET; and a back side contact electrically interconnecting the back side power rail with the second source-drain region. A dielectric liner and back side dielectric fill are on a back side of the gate adjacent the back side contact, and they electrically confine the back side contact in a cross-gate direction.
    Type: Application
    Filed: December 30, 2021
    Publication date: July 6, 2023
    Inventors: Ruilong Xie, Kisik Choi, Brent A Anderson, Lawrence A. Clevenger, John Christopher Arnold
  • Publication number: 20230207697
    Abstract: A channel fin extends vertically above a bottom source/drain region, a protective liner is positioned along opposite sidewalls of the bottom source/drain region. The bottom source/drain region is positioned above a semiconductor layer in contact with a first portion of an inner spacer. A first metal layer is positioned between the first portion of the inner spacer and a second portion of the inner spacer, the first portion of the inner spacer partially covers a top surface of the first metal layer and the second portion of the inner spacer substantially covers a bottom surface of the first metal layer for providing a buried power rail. A shallow trench isolation region is positioned above an exposed portion of the first metal layer, the shallow trench isolation region is adjacent to the first portion of the inner spacer, the semiconductor layer, and the bottom source/drain region.
    Type: Application
    Filed: December 29, 2021
    Publication date: June 29, 2023
    Inventors: Ruilong Xie, Junli Wang, Brent A. Anderson, Chen Zhang, Heng Wu, Alexander Reznicek
  • Publication number: 20230207553
    Abstract: A device comprises a first interconnect structure, a second interconnect structure, a first cell comprising a first transistor, a second cell comprising a second transistor, a first contact connecting a source/drain element of the first transistor to the first interconnect structure, and second contact connecting a source/drain element of the second transistor to the second interconnect structure. The first cell is disposed adjacent to the second cell with the first transistor disposed adjacent to the second transistor. The first and second cells are disposed between the first and second interconnect structures.
    Type: Application
    Filed: December 27, 2021
    Publication date: June 29, 2023
    Inventors: Ruilong Xie, Kisik Choi, Somnath Ghosh, Sagarika Mukesh, Albert Chu, Albert M. Young, Balasubramanian S. Pranatharthiharan, Huiming Bu, Kai Zhao, John Christopher Arnold, Brent A. Anderson, Dechao Guo
  • Patent number: 11688775
    Abstract: A method of forming a semiconductor structure includes forming at least one fin disposed over a top surface of a substrate, the fin providing a vertical transport channel for a vertical transport field-effect transistor. The method also includes forming a top source/drain region disposed over a top surface of the fin, and forming a first contact trench at a first end of the fin and a second contact trench at a second end of the fin, the first and second contact trenches being self-aligned to the top source/drain region. The method further includes forming inner spacers on sidewalls of the first contact trench and the second contact trench, and forming contact material in the first contact trench and the second contact trench between the inner spacers. The contact material comprises a stressor material that induces vertical strain in the fin.
    Type: Grant
    Filed: August 13, 2018
    Date of Patent: June 27, 2023
    Assignee: International Business Machines Corporation
    Inventors: Juntao Li, Kangguo Cheng, Brent A. Anderson
  • Publication number: 20230187541
    Abstract: The embodiments herein describe a crossbar VFET where the crossbar channel (or fin) that extends between a pair of channels (fins) has reduced corner rounding, or no corner rounding. This can be achieved by developing a masking feature before etching the channels in the VFET that results in reduced, or no corner rounding in the channel structure etched using the masking feature.
    Type: Application
    Filed: December 15, 2021
    Publication date: June 15, 2023
    Inventors: Brent A. ANDERSON, Junli WANG, Indira SESHADRI, Ruilong XIE, Dechao GUO
  • Patent number: 11658116
    Abstract: A semiconductor structure comprises a front-end-of-line region comprising two or more devices, a first back-end-of-line region on a first side of the front-end-of-line region, the first back-end-of-line region comprising a first set of interconnects for at least a first subset of the two or more devices in the front-end-of-line region, and a second back-end-of-line region on a second side of the front-end-of-line region opposite the first side of the front-end-of-line region, the second back-end-of-line region comprising a second set of interconnects for at least a second subset of the two or more devices in the front-end-of-line region. The semiconductor structure also comprises one or more passthrough vias disposed in the front-end-of-line region, each of the one or more passthrough vias connecting at least one of the first set of interconnects of the first back-end-of-line region to at least one of the second set of interconnects of the second back-end-of-line region.
    Type: Grant
    Filed: March 2, 2021
    Date of Patent: May 23, 2023
    Assignee: International Business Machines Corporation
    Inventors: Junli Wang, Albert Chu, Dechao Guo, Brent Anderson
  • Publication number: 20230142760
    Abstract: Embodiments of the invention are directed to a method of forming an integrated circuit (IC). The method includes performing fabrication operations that form the IC. The fabrication operations include forming a channel fin. A gate structure is formed along a sidewall surface of the channel fin. The gate structure includes a conductive gate having an L-shape profile, and the L-shape profile includes a conductive gate foot region. The conductive gate foot region is replaced with a dielectric foot region.
    Type: Application
    Filed: November 11, 2021
    Publication date: May 11, 2023
    Inventors: ChoongHyun Lee, Ardasheir Rahman, Xin Miao, Brent A. Anderson, Alexander Reznicek
  • Publication number: 20230139379
    Abstract: VFET devices having a robust gate extension structure using late gate extension patterning and self-aligned gate and source/drain region contacts are provided. In one aspect, a VFET device includes: at least one bottom source/drain region present on a substrate; at least one fin disposed on the at least one bottom source/drain region, wherein the at least one fin serves as a vertical fin channel of the VFET device; a gate stack alongside the at least one fin; a gate extension metal adjacent to the gate stack at a base of the at least one fin; a barrier layer that separates the gate extension metal from the gate stack; and at least one top source/drain region at a top of the at least one fin. A VFET device that includes multiple VFETs present on a substrate, and a method of forming a VFET device are also provided.
    Type: Application
    Filed: October 29, 2021
    Publication date: May 4, 2023
    Inventors: Ruilong Xie, Christopher J. Waskiewicz, Jay William Strane, Hemanth Jagannathan, Brent Anderson
  • Publication number: 20230132353
    Abstract: A semiconductor structure includes a power distribution network including a first buried power rail, a power wire, and a first buried via electrically interconnecting the first buried power rail and the power wire. Each of the first buried power rail, the power wire, and the first buried via have a liner on a corresponding bottom surface thereof and sidewalls thereof. The structure also includes a dielectric layer outward of the power distribution network; a first field effect transistor outward of the dielectric layer; a first via trench contact electrically interconnecting a source/drain region of the transistor to the first buried power rail; a first outer wire outward of the first field effect transistor; and an electrical path electrically interconnecting the first outer wire with the power wire.
    Type: Application
    Filed: October 26, 2021
    Publication date: April 27, 2023
    Inventors: Ruilong Xie, Balasubramanian Pranatharthiharan, Mukta Ghate Farooq, Brent Anderson
  • Publication number: 20230128985
    Abstract: A semiconductor structure and method of manufacturing a semiconductor structure having a front side and an opposing backside. An early power delivery network (EBPDN) of wires is built above a substrate layer. Buried power rails (BPRs) are built above levels of the PDN and connected to the EBPDN by short length via connections that can be self-aligned to the back side buried power rails. Both BPRs and vias connections have a common metallization. A front side level of transistor devices are built at the front side of the structure above the BPRs. The resulting formed buried power rail structure has an aspect ratio of height:width greater than 4:1, a height >3 times a height of the formed via structure; and a via structure having a length greater than a height of the formed conductive power rail structure.
    Type: Application
    Filed: October 22, 2021
    Publication date: April 27, 2023
    Inventors: Brent Anderson, Lawrence A. Clevenger, Christopher J. Penny, Nicholas Anthony Lanzillo
  • Publication number: 20230104456
    Abstract: An apparatus includes a fin, a gate, and a gate contact. A portion of the fin is disposed in a first layer. The gate is disposed in the first layer and adjacent to the fin. The gate contact is disposed on the gate and in a second layer, wherein the second layer is disposed on the first layer such that the gate contact is above the fin.
    Type: Application
    Filed: October 6, 2021
    Publication date: April 6, 2023
    Inventors: Brent ANDERSON, Junli WANG, Indira SESHADRI, Chen ZHANG, Ruilong XIE, Joshua M. RUBIN, Hemanth JAGANNATHAN
  • Publication number: 20230101678
    Abstract: Semiconductor integrated circuit devices are provided which have standard cells with ultra-short standard cell heights. For example, a device comprises an integrated circuit comprising a standard cell which comprises a first cell boundary and a second cell boundary. The standard cell comprises an n-track cell height defined by a distance between the first cell boundary and the second cell boundary, wherein n is four or less.
    Type: Application
    Filed: September 24, 2021
    Publication date: March 30, 2023
    Inventors: Albert Chu, Junli Wang, Brent Anderson
  • Publication number: 20230094757
    Abstract: An approach providing a semiconductor wiring structure with a self-aligned top via on a first metal line and under a second metal line. The semiconductor wiring structure includes a plurality of first metal lines in a bottom portion of a first dielectric material. The semiconductor wiring structure includes a top via in a top portion of the first dielectric material, where the top via is over a first metal line of the plurality of first metal lines. The semiconductor wiring structure includes a second dielectric material above each of the plurality of first metal lines except the first metal line of the plurality of first metal lines. Furthermore, the semiconductor wiring structure includes a second metal line above the top via, wherein the second metal line is in a third dielectric material and a hardmask layer that is under the third dielectric material.
    Type: Application
    Filed: September 22, 2021
    Publication date: March 30, 2023
    Inventors: Lawrence A. Clevenger, Brent Anderson, Nicholas Anthony Lanzillo, Christopher J. Penny, Kisik Choi, Robert ROBISON