Patents by Inventor Yann Mignot

Yann Mignot has filed for patents to protect the following inventions. This listing includes patent applications that are pending as well as patents that have already been granted by the United States Patent and Trademark Office (USPTO).

  • Publication number: 20240162087
    Abstract: A semiconductor structure includes a substrate; a spacer protruding from the substrate and surrounding a cavity; and spin-on glass filling a portion of the cavity.
    Type: Application
    Filed: November 10, 2022
    Publication date: May 16, 2024
    Inventors: Xiaoming Yang, Yann Mignot, SOMNATH GHOSH, Daniel Charles Edelstein
  • Publication number: 20240153865
    Abstract: A semiconductor structure is presented including a first level of interconnect wiring and a second level of interconnect wiring having a bilayer metal arrangement incorporating via elements, the second level of interconnect wiring electrically connected to the first level of interconnect wiring. In one example, the bilayer metal arrangement of the second level of interconnect wiring includes a first row of bilayer metals and a second row of bilayer metals disposed over the first row of bilayer metals. In another example, the bilayer metal arrangement of the second level of interconnect wiring includes a cap dielectric material for isolation from the first row of the bilayer metal. In yet another embodiment, the bilayer metal arrangement of the second level of interconnect wiring includes a metal bridge.
    Type: Application
    Filed: November 4, 2022
    Publication date: May 9, 2024
    Inventors: Yann Mignot, Koichi Motoyama, Hsueh-Chung Chen, Chanro Park
  • Publication number: 20240153864
    Abstract: A semiconductor structure includes a skip via disposed on a metal line of a first metallization layer, and a dielectric layer disposed on sidewalls of the skip via to define an opening. The dielectric layer has uniform sidewalls from an uppermost portion of the opening to a lowermost portion of the opening.
    Type: Application
    Filed: November 3, 2022
    Publication date: May 9, 2024
    Inventors: Koichi Motoyama, Chanro Park, Hsueh-Chung Chen, Yann Mignot
  • Publication number: 20240099148
    Abstract: A semiconductor device is provided. The semiconductor device includes a memory including a bottom electrode, a magnetic tunnel junction (MTJ) stack on the bottom electrode, and an upper electrode on the MTJ stack. The semiconductor device also includes at least one dielectric layer formed around the memory, wherein a top metal layer contact hole is formed in the at least one dielectric layer, a dielectric liner layer formed in the top metal contact hole, and a top metal layer contact in the top metal layer contact hole.
    Type: Application
    Filed: September 16, 2022
    Publication date: March 21, 2024
    Inventors: Hsueh-Chung Chen, Koichi Motoyama, Chanro Park, Yann Mignot, Chih-Chao Yang
  • Publication number: 20240071904
    Abstract: A microelectronics structure including a skip level via extending from an upper level metal line in an upper level of the at least two interlevel dielectric levels through a first an interlevel dielectric level that is on the substrate level without contacting an interlevel metal line. The skip level via extends into electrical contact with a first element of electrical contact features in a lower level of the at least two interlevel dielectric levels. The skip level via includes a spacer that is present on sidewalls of the skip level via. The structure also includes a single level via, in which the dielectric material of the spacer of the skip level via is not present on the sidewalls of the single level via.
    Type: Application
    Filed: August 29, 2022
    Publication date: February 29, 2024
    Inventors: Chanro Park, Koichi Motoyama, Yann Mignot, Hsueh-Chung Chen
  • Patent number: 11916013
    Abstract: Interconnect structures including super vias are formed during back-end-of-line processing using sacrificial placeholders to protect the bottom portions of the super vias while upper portions of the super vias are formed. The sacrificial placeholders are removed and replaced by metal conductors that fill the bottom and upper portions of the super vias.
    Type: Grant
    Filed: September 2, 2021
    Date of Patent: February 27, 2024
    Assignee: International Business Machines Corporation
    Inventors: Yann Mignot, Christopher J. Waskiewicz, Eric Miller, Chanro Park
  • Patent number: 11901440
    Abstract: A semiconductor device containing a self-aligned contact rail is provided. The self-aligned contact rail can have a reduced critical dimension, CD. The self-aligned contact rail can be obtained utilizing a sacrificial semiconductor fin as a placeholder structure for the contact rail. The used of the sacrificial semiconductor fin enables reduced, and more controllable, CDs.
    Type: Grant
    Filed: September 2, 2021
    Date of Patent: February 13, 2024
    Assignee: International Business Machines Corporation
    Inventors: Yann Mignot, Christopher J. Waskiewicz, Su Chen Fan, Brent Anderson, Junli Wang
  • Publication number: 20240038547
    Abstract: A substrative patterning process is provided that forms an interconnect structure including a connector tab located between two adjacent electrically conductive line structures. The connector tab and the two adjacent electrically conductive line structures are of unitary construction and are located in a same metallization level.
    Type: Application
    Filed: July 26, 2022
    Publication date: February 1, 2024
    Inventors: Chanro Park, Koichi Motoyama, Hsueh-Chung Chen, Yann Mignot
  • Publication number: 20240038535
    Abstract: A method of forming a mandrel for use in a pitch doubling process is provided in which a metal hard mask is inserted between a mandrel material layer and a soft mask. The insertion of the metal hard mask allows for easier pattern transfer into the mandrel material layer and avoids many issues encountered during multi-patterning steps. The insertion of the metal hard mask forms a square mandrel that has a flat top due to durability against etch and ability to wet strip the metal hard mask. The metal hard mask can be tuned before pattern transfer into the underlying mandrel material layer to provide a hard mask pattern that is smaller or larger than the pattern without performing such tuning. The method also can be used to protect the downstream non-mandrel processes where selectivity is crucial.
    Type: Application
    Filed: July 28, 2022
    Publication date: February 1, 2024
    Inventors: Joe Lee, Yann Mignot, Christopher J. Penny, Koichi Motoyama
  • Publication number: 20230411477
    Abstract: A gate-all-around transistor structure including a channel region surrounded on three sides by a gate conductor, and a pair of salicide regions extending from opposite ends of the channel region in a direction parallel with the gate conductor.
    Type: Application
    Filed: June 21, 2022
    Publication date: December 21, 2023
    Inventors: Su Chen Fan, Nicolas Jean Loubet, Yann Mignot, Tsung-Sheng Kang, Eric Miller
  • Patent number: 11849647
    Abstract: A semiconductor structure may include a magnetic tunnel junction layer on top and in electrical contact with a microstud, a hard mask layer on top of the magnetic tunnel junction layer, and a liner positioned along vertical sidewalls of the magnetic tunnel junction layer and vertical sidewalls of the hard mask layer. A top surface of the liner may be below a top surface of the hard mask layer. The semiconductor structure may include a spacer on top of the liner. The liner may separate the spacer from the magnetic tunnel junction layer and the hard mask layer. The semiconductor structure may include a first metal layer below and in electrical contact with the microstud and a second metal layer above the hard mask layer. A bottom portion of the second metal layer may surround a top portion of the hard mask layer.
    Type: Grant
    Filed: March 4, 2021
    Date of Patent: December 19, 2023
    Assignee: International Business Machines Corporation
    Inventors: Tao Li, Yann Mignot, Ashim Dutta, Tsung-Sheng Kang, Wenyu Xu
  • Patent number: 11817389
    Abstract: A semiconductor device structure includes a memory element disposed within an interlayer dielectric (ILD) layer. A contact is disposed within the ILD in contact with the memory element and includes a first metal. A logic element is disposed within the ILD and comprises a second metal that is different than the first metal. A method of forming the semiconductor structure includes forming at least one memory element within an interlayer dielectric (ILD) layer. A contact that includes a first metal is formed in contact with the memory element. At least one logic element is formed in the ILD layer, where the logic element includes a second metal that is different than the first metal.
    Type: Grant
    Filed: March 24, 2020
    Date of Patent: November 14, 2023
    Assignee: International Business Machines Corporation
    Inventors: Hsueh-Chung Chen, Chih-Chao Yang, Yann Mignot, Shanti Pancharatnam
  • Patent number: 11804401
    Abstract: One or more embodiments described herein include systems, and/or methods that facilitate fabrication of a semiconductor device using a spacer lithography-etch process. According to an embodiment, a method can comprise performing a first lithography exposure and etch over a first layer of a semiconductor device, where the first lithography exposure and etch comprises forming one or more mandrels on a first region of a second layer by employing a first photoresist layer. The method can further comprise forming one or more spacers on a sidewall of the one or more mandrels and covering a second region of the second layer, where the second region is adjacent to the one or more mandrels. The method can further comprise forming a cut over a third region of the second layer and filling the third region with first material.
    Type: Grant
    Filed: September 24, 2021
    Date of Patent: October 31, 2023
    Assignee: International Business Machines Corporation
    Inventors: Nelson Felix, Ekmini Anuja De Silva, Luciana Meli Thompson, Yann Mignot
  • Patent number: 11798842
    Abstract: Semiconductor devices and methods of forming conductive lines in the same include forming a cut region in a first dielectric layer, the cut region having a first width. A second dielectric plug is formed in the cut region. A mask is formed, over the first dielectric layer, that defines at least one trench region that crosses the second dielectric plug, with the at least one trench region having a second width that is smaller than the first width. Material from the first dielectric layer in the trench regions is etched away, using a selective anisotropic etch that leaves the second dielectric plug in place, to form trenches in the first dielectric layer. Conductive material is deposited in the trenches to form conductive lines that are separated by the second dielectric plug.
    Type: Grant
    Filed: September 23, 2021
    Date of Patent: October 24, 2023
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Chanro Park, Koichi Motoyama, Hsueh-Chung Chen, Yann Mignot
  • Patent number: 11784120
    Abstract: A semiconductor device includes a stack structure having at least first, second and third interconnect levels. Each interconnect level has a patterned metal conductor including a first metallic material. A via spans the second and third interconnect levels and electrically couples with the patterned metal conductor of the first interconnect level. At least a segment of the super via includes a second metallic material different from the first metallic material.
    Type: Grant
    Filed: September 21, 2021
    Date of Patent: October 10, 2023
    Assignee: International Business Machines Corporation
    Inventors: Yann Mignot, James J. Kelly, Muthumanickam Sankarapandian, Yongan Xu, Hsueh-Chung Chen, Daniel J. Vincent
  • Publication number: 20230253307
    Abstract: An integrated circuit structure includes a metal line that has an upper surface defining a periphery; a dielectric spacer that is formed around the periphery of the upper surface of the metal line; and a metal via that contacts the metal line and the dielectric spacer adjacent to the periphery of the upper surface. A method for making a semiconductor structure includes depositing a spacer around the periphery of an upper surface of a metal line; and depositing a via onto the metal line, so that a part of the via overlaps the spacer.
    Type: Application
    Filed: February 8, 2022
    Publication date: August 10, 2023
    Inventors: Chanro PARK, Yann MIGNOT, Nicholas Anthony LANZILLO, Chih-Chao YANG
  • Patent number: 11688632
    Abstract: Semiconductor devices and methods for forming semiconductor devices include opening at least one contact via through a sacrificial material down to contacts. Sides of the at least one contact via are lined by selectively depositing a barrier on the sacrificial material, the barrier extending along sidewalls of the at least one contact via from a top surface of the sacrificial material down to a bottom surface of the sacrificial material proximal to the contacts such that the contacts remain exposed. A conductive material is deposited in the at least one contact via down to the contacts to form stacked contacts having the hard mask on sides thereof. The sacrificial material is removed.
    Type: Grant
    Filed: December 29, 2020
    Date of Patent: June 27, 2023
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Alex Joseph Varghese, Marc A. Bergendahl, Andrew M. Greene, Dallas Lea, Matthew T. Shoudy, Yann Mignot, Ekmini A. De Silva, Gangadhara Raja Muthinti
  • Publication number: 20230187350
    Abstract: A semiconductor device includes a conductive line disposed within a dielectric layer, a metal layer disposed over and in direct contact with the conductive line, and a metallization layer disposed over the metal layer such that a protruding segment of the metal layer acts as an interface between the conductive line and the metallization layer. The conductive line is copper (Cu) and the metal layer is ruthenium (Ru). The Ru metal layer includes an upper metal layer section and a lower metal layer section.
    Type: Application
    Filed: December 13, 2021
    Publication date: June 15, 2023
    Inventors: Hsueh-Chung Chen, Yann Mignot, Chi-Chun Liu, Mary Claire Silvestre, Jennifer Oakley
  • Publication number: 20230187442
    Abstract: A semiconductor device comprises a substrate including at least one vertical fin extending from the substrate, a bottom source/drain region beneath the at least one vertical fin, a top source/drain region disposed above the at least one vertical fin, a metal gate structure, a contact coupled to the top source/drain region and first and second contact spacers disposed on each side of the contact.
    Type: Application
    Filed: December 15, 2021
    Publication date: June 15, 2023
    Inventors: Su Chen Fan, Christopher J. Waskiewicz, Yann Mignot, Jeffrey C. Shearer, Hemanth Jagannathan
  • Patent number: 11670580
    Abstract: Structures are provided that include a metal-insulator-metal capacitor (MIMCAP) present in the back-end-of-the-line (BEOL). The MIMCAP includes at least one of the bottom electrode and the top electrode having a via portion and a base portion that is formed utilizing a subtractive via etch process. Less via over etching occurs resulting in improved critical dimension control of the bottom and/or top electrodes that are formed by the subtractive via etch process. No bottom liner is present in the MIMCAP thus improving the resistance/capacitance of the device. Also, and in some embodiments, a reduced foot-print area is possible to bring the via portion of the bottom electrode closer to the top electrode.
    Type: Grant
    Filed: August 30, 2021
    Date of Patent: June 6, 2023
    Assignee: International Business Machines Corporation
    Inventors: Yann Mignot, Hsueh-Chung Chen, Junli Wang, Mary Claire Silvestre, Chi-Chun Liu