Low temperature bonded structures

Devices and techniques including process steps make use of recesses in conductive interconnect structures to form reliable low temperature metallic bonds. A fill layer is deposited into the recesses prior to bonding. First conductive interconnect structures are bonded at ambient temperatures to second metallic interconnect structures using direct bonding techniques, with the fill layers in the recesses in one or both of the first and second interconnect structures.

Skip to: Description  ·  Claims  ·  References Cited  · Patent History  ·  Patent History
Description
PRIORITY CLAIM AND CROSS-REFERENCE TO RELATED APPLICATION

This application claims the benefit under 35 U.S.C. § 119(e)(1) of U.S. Provisional Application No. 62/656,264, filed Apr. 11, 2018, which is hereby incorporated by reference in its entirety.

FIELD

The following description relates to integrated circuits (“ICs”). More particularly, the following description relates to manufacturing IC dies and wafers.

BACKGROUND

Microelectronic elements often comprise a thin slab of a semiconductor material, such as silicon or gallium arsenide, commonly called a semiconductor wafer. A wafer can be formed to include multiple integrated chips or dies on a surface of the wafer and/or partly embedded within the wafer. Dies that are separated from a wafer are commonly provided as individual, prepackaged units. In some package designs, the die is mounted to a substrate or a chip carrier, which is in turn mounted on a circuit panel, such as a printed circuit board (PCB). For example, many dies are provided in packages suitable for surface mounting.

Packaged semiconductor dies can also be provided in “stacked” arrangements, wherein one package is provided, for example, on a circuit board or other carrier, and another package is mounted on top of the first package. These arrangements can allow a number of different dies to be mounted within a single footprint on a circuit board and can further facilitate high-speed operation by providing a short interconnection between the packages. Often, this interconnect distance can be only slightly larger than the thickness of the die itself. For interconnection to be achieved within a stack of die packages, interconnection structures for mechanical and electrical connection may be provided on both sides (e.g., faces) of each die package (except for the topmost package).

Additionally, dies or wafers may be stacked in a three-dimensional arrangement as part of various microelectronic packaging schemes. This can include stacking a layer of one or more dies, devices, and/or wafers on a larger base die, device, wafer, substrate, or the like, stacking multiple dies or wafers in a vertical or horizontal arrangement, and various combinations of both. Dies or wafers may be bonded in a stacked arrangement using various bonding techniques, including direct dielectric bonding, non-adhesive techniques, such as ZiBond® or a hybrid bonding technique, such as DBI®, both available from Invensas Bonding Technologies, Inc. (formerly Ziptronix, Inc.), an Xperi company (see for example, U.S. Pat. Nos. 6,864,585 and 7,485,968, which are incorporated herein in their entirety).

There can be a variety of challenges to implementing stacked die and wafer arrangements. When bonding stacked dies using a direct bonding or hybrid bonding technique, it is usually desirable that the surfaces of the dies to be bonded be extremely flat, smooth, and clean. For instance, in general, the surfaces should have a very low variance in surface topology (i.e., nanometer scale variance), so that the surfaces can be closely mated to form a lasting bond.

However, some processing techniques, such as chemical-mechanical polishing (CMP) and the like, which are used to prepare the bonding surfaces can also contribute to forming uneven bonding surfaces, particularly when the bonding surfaces include varying materials of varying densities. For instance, embedded metallic structures at the bonding surface can be excessively recessed during bonding surface polishing, and to a greater degree when the metallic structures have large exposed surface areas.

Further, it can be desirable to bond the embedded metallic structures of adjacent stacked dies to form conductive interconnects between the dies. Generally, the metallic structures are bonded using heated annealing techniques to form diffusion bonds. However, the temperatures used to perform the annealing techniques can often be too great for some desirable packaging schemes or components or at least one or more materials in the package, thus limiting the types of schemes and components or combination of materials that may take advantage of these techniques.

BRIEF DESCRIPTION OF THE DRAWINGS

The detailed description is set forth with reference to the accompanying figures. In the figures, the left-most digit(s) of a reference number identifies the figure in which the reference number first appears. The use of the same reference numbers in different figures indicates similar or identical items.

For this discussion, the devices and systems illustrated in the figures are shown as having a multiplicity of components. Various implementations of devices and/or systems, as described herein, may include fewer components and remain within the scope of the disclosure. Alternatively, other implementations of devices and/or systems may include additional components, or various combinations of the described components, and remain within the scope of the disclosure.

FIGS. 1A 1G include a graphical flow diagram illustrating an example process for forming and bonding dies, according to an embodiment.

FIGS. 2A-2E include a graphical flow diagram illustrating another example process for forming and bonding dies, according to an embodiment.

FIGS. 3A-3C include a graphical flow diagram illustrating an alternate example process for forming and bonding dies, according to an embodiment.

FIGS. 4A-4C include a graphical flow diagram illustrating another example process for forming and bonding dies, according to an embodiment.

FIG. 5 is a flow diagram illustrating an example process for forming and bonding dies, according to an embodiment.

SUMMARY

Representative techniques and devices are disclosed, including process steps for making use of recesses in interconnect structures to form reliable low temperature metallic (e.g., hybrid) bonds. In various implementations, first metallic interconnect structures may be bonded at ambient temperatures to second metallic interconnect structures using direct bonding techniques, which make use of the recesses in one or both of the first and second interconnect structures. The recesses may be formed in the interconnect structures for this purpose, or recesses resulting from CMP (and the like) may be remedied with this innovative technique. Interconnect pads with larger surface areas and others with deeper dishing can particularly benefit.

In various implementations, a method for forming a microelectronic assembly includes planarizing a bonding surface of a first substrate, where the first substrate includes a first metallic pad embedded into the bonding surface of the first substrate, and depositing a first metallic material onto a surface of the first metallic pad. Also, planarizing a bonding surface of a second substrate, where the second substrate includes a second metallic pad embedded into the bonding surface of the second substrate, and depositing a second metallic material onto a surface of the second metallic pad. Then, bonding the bonding surface of the second substrate to the bonding surface of the first substrate via direct bonding without adhesive.

In an implementation, the method includes depositing the first metallic material and/or the second metallic material via immersion electroless metal deposition, or a like technique. In another implementation, the method includes forming a eutectic alloy mass between the first metallic pad and the second metallic pad, where the eutectic alloy mass or near eutectic composition alloy mass comprises an alloy of the first and second metallic materials.

The method may include forming a first recessed portion in a surface of the first metallic pad and a second recessed portion in a surface of the second metallic pad, and at least partially filling the first recessed portion with the first metallic material and at least partially filling the second recessed portion with the second metallic material. In some embodiments, the method includes depositing a conductive barrier layer onto the surface of the first metallic pad and/or the surface of the second metallic pad prior to depositing the first metallic material or the second metallic material, respectively.

In additional implementations, techniques and methods include forming a microelectronic assembly, comprising a first substrate having a bonding surface with a planarized topography and a first plurality of metallic pads or traces or combinations of thereof at the bonding surface of the first substrate and a second substrate having a bonding surface with a planarized topography, which is bonded to the bonding surface of the first substrate. A second plurality of metallic pads at the bonding surface of the second substrate are bonded to the first plurality of metallic pads. The first plurality of metallic pads and/or the second plurality of metallic pads are comprised of two or more conductive materials.

In some implementations, a first recessed portion is disposed in a surface of the first plurality of metallic pads that extends a preselected depth below the surface of the first plurality of metallic pads, or a preselected depth below the bonding surface of the first substrate. The first recessed portion is at least partially filled with a first conductive material different than a conductive material of the first plurality of metallic pads. Further, a second recessed portion may be disposed in a surface of the second plurality of metallic pads that extends a preselected depth below the surface of the second plurality of metallic pads, or a preselected depth below the bonding surface of the second substrate. The second recessed portion is at least partially filled with a second conductive material different than a conductive material of the second plurality of metallic pads.

In an alternate implementation, a barrier layer is disposed within the first recessed portion and/or the second recessed portion. The barrier layer is comprised of a third conductive material different from the first or second conductive materials and different than the conductive material of the first or second plurality of metallic pads.

In some implementations, an alloy mass (e.g., a eutectic alloy mass) is disposed between the first plurality of metallic pads and the second plurality of metallic pads, where the alloy mass comprises an alloy of the first and second conductive materials. In some examples, the region between the first plurality of metallic pads or the second plurality of metallic pads and the alloy mass is non-linear.

Various implementations and arrangements are discussed with reference to electrical and electronics components and varied carriers. While specific components (i.e., dies, wafers, integrated circuit (IC) chip dies, substrates, etc.) are mentioned, this is not intended to be limiting, and is for ease of discussion and illustrative convenience. The techniques and devices discussed with reference to a wafer, die, substrate, or the like, are applicable to any type or number of electrical components, circuits (e.g., integrated circuits (IC), mixed circuits, ASICS, memory devices, processors, etc.), groups of components, packaged components, structures (e.g., wafers, panels, boards, PCBs, etc.), and the like, that may be coupled to interface with each other, with external circuits, systems, carriers, and the like. Each of these different components, circuits, groups, packages, structures, and the like, can be generically referred to as a “microelectronic component.” For simplicity, unless otherwise specified, components being bonded to another component will be referred to herein as a “die.”

This summary is not intended to give a full description. Implementations are explained in more detail below using a plurality of examples. Although various implementations and examples are discussed here and below, further implementations and examples may be possible by combining the features and elements of individual implementations and examples.

DETAILED DESCRIPTION

Overview

FIGS. 1A-5 illustrate representative devices, as well as processes 100, and 300-600 for forming and preparing various microelectronic components (such as dies 102 and assemblies 118, for example) for bonding, such as for direct bonding without adhesive. The processes 100, and 300-600 include providing a bonding surface (such as bonding surface 108, for example) on the microelectronic components, or two bonding surfaces in some examples (not shown), providing conductive interconnect structures embedded into the bonding surfaces, repairing or mitigating erosion, dishing, and the like in the bonding surfaces due to processing or defects, forming microelectronic assemblies by directly bonding the microelectronic components at the bonding surfaces, and so forth.

The order in which the processes 100, and 300-600 are described is not intended to be construed as limiting, and any number of the described process blocks in any of the processes 100, and 300-600 can be combined in any order to implement the processes, or alternate processes. Additionally, individual blocks may be deleted from any of the processes without departing from the spirit and scope of the subject matter described herein. Furthermore, the processes 100, and 300-600 can be implemented in any suitable hardware, software, firmware, or a combination thereof, without departing from the scope of the subject matter described herein. In alternate implementations, other techniques may be included in the processes 100, and 300-600 in various combinations and remain within the scope of the disclosure.

Referring to FIG. 1A, a representative “die” 102 may be formed using various techniques, to include a base substrate 104 and an insulating or dielectric layer 106. The base substrate 104 may be comprised of silicon, germanium, glass, quartz, a dielectric surface, direct or indirect gap semiconductor materials or layers or another suitable material. The insulating layer 106 is deposited or formed over the substrate 104, and may be comprised of an inorganic dielectric material layer such as oxide, nitride, oxynitride, oxycarbide, carbides, carbonitrides, diamond, diamond like materials, glasses, ceramics, glass-ceramics, and the like.

A bonding surface 108 of the die 102 can include conductive features 110, such as interconnect structures for example, embedded into the insulating layer 106 and arranged so that the conductive features 110 from respective bonding surfaces 108 can be mated and joined during bonding, if desired. The joined interconnect features 110 can form continuous conductive interconnects (for signals, power, etc.) between stacked dies 102.

Damascene processes (or the like) may be used to form the embedded conductive features 110 in the insulating layer 106. The conductive features 110 may be comprised of metals (e.g., copper, etc.) or other conductive materials, or combinations of materials, and include structures, traces, pads, patterns, and so forth. The conductive features 110 may be included in the insulating layer 106 to provide an electrical and/or thermal path or may instead be configured to balance out the metallization of the bonding surface 108, through the use of additional pads or so-called dummy pads, traces, patterns or the like. After the conductive features 110 are formed, the exposed surface of the die 102, including the insulating layer 106 and the conductive features 110 can be planarized to form a flat bonding surface 108.

As shown in FIG. 1A, one or more bonding surfaces 108 of a die 102, including embedded interconnect structures 110, can be planarized (using chemical-mechanical polishing (CMP), or the like) to prepare the surface(s) 108 for bonding. Forming the bonding surface 108 includes finishing the surface 108 to meet dielectric roughness specifications and metallic layer (e.g., copper, etc.) recess specifications, to prepare the surface 108 for direct bonding. In other words, the bonding surface 108 is formed to be as flat and smooth as possible, with very minimal surface topology variance. Various conventional processes, such as chemical mechanical polishing (CMP) may be used to achieve the low surface roughness. This process provides the flat, smooth surface 108 that results in a reliable bond between dies 102. In some cases, the exposed surface of the conductive features 110 may be intentionally recessed, to allow for material expansion, particularly during heated annealing, if it is to be performed.

As shown in FIG. 1B, as a result of the discontinuity in the properties (difference in mechanical properties, polishing rates, etc.) of the material (e.g., metal, etc.) of the interconnect features 110 and the dielectric material of the insulating layer 106, and their respective interactions with the polishing pad, its hardness, polishing slurry, and other process parameters, the planarizing can produce dielectric erosion (not shown) on the bonding surface 108 in areas with high metal pattern density, and dishing (i.e., recesses 112) in the exposed surface of metal features 110. In general, the higher the metal pattern density on the surface 108, the greater the erosion, and similarly, the larger the area of the surface of the metal features 110, the greater (i.e., deeper) the recesses 112. As shown at FIG. 1B, the dishing of the features 110 can create a notable variance (having a depth (“d1”), for example) in the overall surface topology of the die 102. In some cases, the topology variance may be great enough to weaken a direct bond between dies 102 or reduce the reliability of the bond at the locations of the surface variance(s) (e.g., recesses 112).

Among the consequences of excessive recesses 112 on the exposed surface of interconnect features 110 is poor flatness of the surface of the interconnect features 110 and much higher temperatures typically needed to form continuous conductive interconnections between the metal features 110 of the dies 102 than the lower temperatures often desired. With conductive features 110 having very large area pads, recesses 112 can be too deep with respect to the opposing interconnect features to mate intimately without undesirable voiding defects, as the metal may not expand enough at annealing temperatures to form a bonded continuous conductive layer. Thus, the poor flatness on the surface of the conductive features 110 often produces defective bonds, when the surface is bonded or attached to other devices or substrates.

However, in various embodiments, dishing or recesses 112 having a preselected depth (“d1”) may be intentionally formed in the surface of a conductive feature 110, to prepare the conductive feature 110 for low temperature (e.g., ambient, room temperature, less than 100° C.) bonding techniques, as disclosed herein. Intentional forming of recesses 112 at a preselected depth (“d1”) may be accomplished while forming the conductive features 110, or may be accomplished afterwards, with planarization, etching, or the like. In some embodiments, the preselected depth (“d1”) of the recess 112 may be dependent on the surface area of the exposed portion of the conductive feature 110. For instance, a conductive feature 110 with a larger surface area and/or thicker conductive layer may have or be provided with a deeper recess 112, and a conductive feature 110 with a smaller surface area and/or thinner conductive layer may have or be provided with a more shallow recess 112.

Example Embodiments

Techniques and devices include process steps that make use of recesses 112 in interconnect features 110 to form reliable low temperature metallic bonds. In the implementations, first metallic interconnect features 110 at a first die 102 may be bonded at ambient temperatures (e.g., less than 100° C.) to second metallic interconnect features 110 at a second die 102 using direct bonding techniques, which make use of the recesses 112 in one or both of the first and second interconnect features 110. The recesses 112 may be formed in the interconnect features 110 for this purpose, or recesses 112 resulting from CMP (and the like) may be remedied with this innovative technique. Interconnect features 110 with larger surface areas and others with deeper dishing can particularly benefit.

Referring to FIGS. 1C and 1D, in an implementation, the recess(es) 112 in the metallic interconnect features 110 are filled with one or more conductive (e.g., metal, etc.) fill layers 114 and/or 116 that form a conductive alloy mass 202 (see FIGS. 1F and 1G) when the interconnect features 110 with the fill layers 114, 116 are pressed together. In other words, in some embodiments, the one or more additional metal fill layers 114, 116 in each of the first and second interconnect features 110 fuse together upon intimate contact to form the conductive alloy mass 202, which also fuses to the respective first and second interconnect features 110, forming a low-temperature bonded conductive interconnect 204. In some embodiments, the conductive alloy mass 202 comprises a eutectic alloy mass or a composition close to a eutectic alloy mass.

In an embodiment, the metal fill layers 114 and 116 at the respective first and second interconnect features 110 fuse together to form the conductive alloy mass 202 at a comparatively lower temperature than needed to fuse the first and second interconnect features 110 (by annealing, etc.). The bonding temperature of the layers comprising the conductive alloy mass 202 (including fill layers 114 and 116) is lower than the bonding temperature of the opposing layers comprising the interconnect features 110 without the fill layers 114 or 116. In some embodiments, the melting point of the fill layer 114 and/or the fill layer 116 is lower than the melting point of the materials of one or both of the first and second interconnect features 110. The materials of the one or more metal fill layers 114, 116 may be selected so that the combination of materials forms an alloy with a higher melting point than either of the fill layers 114 and 116, and of the metal of the first and/or second interconnect features 110.

For instance, as shown at FIG. 1C, a first metal fill layer 114 is formed, added, deposited, coated, or the like, on the exposed surface of the first interconnect features 110 of the first die 102. The fill layer 114 is formed at a preselected thickness to reduce the recess 112 from the greater depth (“d1”) to a lesser depth (“d2”). For example, the depth (“d2”) can be a desired or preselected depth, chosen for material expansion and to allow for a reliable direct bond. In various embodiments, the depth (“d2”) may be dependent on the materials of the first interconnect features 110, the materials of the first fill layer 114, the size or area of the first interconnect features 110, the temperature and duration of the annealing process to take place, and the like.

Although only one depth (“d1”) is shown at FIG. 1B, indicating only one recess depth, two or more such depths are also possible on the same die 102 depending on the size of the pads of the first interconnect features 110, or other reasons as mentioned earlier. Adding the fill layers 114 and 116 can also form two or more corresponding depths (“d2”) at the same die 102 as well.

In an implementation, the first fill layer 114 may be selectively electrolessly plated, vapor coated, or deposited by atomic layer deposition methods (or the like) onto the surface of the first interconnect features 110. Also, the first fill layer 114 may be continuous (as shown at FIG. 1C, at 114) or discontinuous (as shown at FIG. 1C at 114′). In an embodiment, the first fill layer 114 may be comprised of indium, alloys of indium or a similar metal or material. A discontinuous fill layer 114′ is less likely to decrease the conductivity of the materials of a bonded structure including the fill layer 114,′ being a very thin layer, while still strengthening bonded material between interconnect structures 110.

As shown at FIG. 1D, a second metal fill layer 116 is formed, added, deposited, or the like, on the exposed surface of the second interconnect features 110 of the second die 102. As previously, the fill layer 116 can be formed at a preselected thickness to reduce the recess 112 to a desired depth (d2, or a depth d2′ that is not necessarily identical to the depth “d2” as shown at FIG. 1C). In an embodiment, the second fill layer 116 may be selectively electrolessly plated, vapor coated, or deposited by atomic layer deposition methods (or the like) onto the surface of the second interconnect features 110. Also similarly, the second fill layer 116 on interconnect features 110 of the second die 102 or substrate may be continuous (as shown at FIG. 1D, at 116) or discontinuous (as shown at FIG. 1D at 116′). In an embodiment, the second fill layer 116 may be comprised of gallium, tin, their respective alloys, or a similar metal or material.

In some embodiments, the first fill layer 114 and the second fill layer 116 comprise different materials. However, in an alternate embodiment, the first 114 and second 116 fill layers comprise the same material. In some alternate embodiments, additional fill layers (not shown) may be added to the first 114 and/or the second 116 fill layers (either before or after deposition of the first 114 and/or second 116 fill layers). Although fill layers 114 and 116 are discussed herein as metal layers, each may be a combination of two or more metals, two or more alloys, or the like.

The first 114 and second 116 fill layers may be deposited on the recessed interconnect features 110 by various means in different implementations. In one implementation, an electroless process, such as a direct replacement reaction or an auto-catalytical metal deposition process is used to selectively deposit the fill layer 114, 116 over the recessed interconnect features 110 (e.g., copper) with precision control. In one example, an electroless immersion deposition process may be used. In various embodiments, such a process may be used to deposit first 114 and second 116 fill layers of gold, silver, palladium, nickel, indium, gallium, cobalt, germanium, or any other metals, or combinations of the same, or the like. In the embodiments, the process maintains a low cost, since it uses no lithography, no vacuum, no heat, and no additional CMP is required after deposition.

In another embodiment, an additional CMP step may be performed on one or both the dies 102 (or wafers). Since two or more recesses 112 are possible on the die 102 (or wafer) depending on the different diameters of the pads 110, a polishing or CMP step may remove material from some pads 110 and not from others.

As shown at FIG. 1E, the first and second dies 102 are bonded using direct dielectric to dielectric bonding (without adhesive), at ambient temperature (e.g., below 100 degrees C.). This includes bringing the non-metallic regions of the prepared bonding surface 108 of each die 102 together so that the bonding surfaces 108 make contact, and bond to each other. The bonding of the first and second dies 102 forms a microelectronic assembly 118. In alternate embodiments, the insulating layer 106 of a die 102 is direct bonded to another insulating layer 106 of a same or similar material on another die 102, or in some examples the other die 102 may not have a separate insulating layer 106 and the bonding happens between the insulating layer 106 of die 102 (e.g. silicon oxide) and a silicon layer, for example, on the other die 102.

In the process, the first fill layer 114 and the second fill layer 116 are pressed together, to bond the interconnect features 110 into a solid interconnect structure 204 at a subsequent temperature lower than 200° C. and preferably lower than 170° C. or 100° C. In one embodiment, the composition of the first fill layer 114 and/or the second fill layer 116 is less than 25% and preferably less than 10% of the bonded conductive feature 204. In some embodiments, the intimate mating of the first fill layer 114 and the second fill layer 116 may comprise thermal deformation of the fill layer 114 and/or the fill layer 116. In other applications, a conductive composite comprising the materials of the interconnect features 110 and the materials of the fill layer 114 of the first die 102 may bond with a conductive composite comprising the materials of the interconnect features 110 and the materials of the fill layer 116 of the second die 102 by thermal deformation and grain boundaries diffusion processes.

As shown at FIG. 1F, the first fill layer 114 and the second fill layer 116 combine to form an alloy mass 202, which physically and electrically bonds the respective interconnect features 110, forming the interconnect structure 204. In various embodiments, the alloy mass 202 comprises a region between the first and second interconnect features 110 (of the bonded interconnect 204 formed by bonding the first and second interconnect features 110) having a non-linear concentration of materials. In other words, the first fill layer 114 and the second fill layer 116 combine to form the alloy mass 202, but the concentration of the first fill layer 114 to the second fill layer 116 is non-linear throughout the alloy mass 202 within the bonded interconnect structure 204.

In an embodiment, the alloy mass 202 comprises an electrically conductive alloy mass 202 joined to the first and second conductive interconnect features 110, where the conductive alloy mass 202 includes a first material comprising the material of the first interconnect feature 110 (of the first die 102), a second material comprising the material of the second interconnect feature 110 (of the second die 102), and at least a third material comprising the materials of either or both of the first fill 114 and the second fill 116. In an embodiment, the third material can be selected to increase the melting point of an alloy including the third material and at least one of the first material or the second material. In various embodiments, the conductive alloy mass 202 includes a third material comprising at least the first fill 114 and a fourth material comprising at least the second fill 116.

In another embodiment, the eutectic alloy mass 202 comprises an electrically conductive alloy mass 202 joined to the first and second conductive interconnect features 110, where the conductive alloy mass 202 includes a first material comprising the material of the first fill 114, a second material comprising the material of the second fill 116, and at least a third material comprising an alloy or a compound of the materials of the first fill 114 and the second fill 116. In the embodiment, the third material can be selected by a selection of the first 114 and second 116 fills to increase the melting point of an alloy including the third material and at least one of the first material or the second material. In various embodiments, the conductive alloy mass 202 includes one or more layers or materials (e.g., metals or other conductive materials) in addition to the materials of the first fill 114 and the second fill 116.

In the embodiments, a concentration of the first material can vary from a relatively higher amount at a location disposed toward the first interconnect feature 110 (or the first die 102) to a relatively lower amount toward the second interconnect feature 110 (or the second die 102), and a concentration of the second material can vary in concentration from a relatively higher amount at a location disposed toward the second interconnect feature 110 (or the second die 102) to a relatively lower amount toward the first interconnect feature 110 (or the first die 102). In an embodiment, the third material has a highest concentration at a location between a first highest concentration of the first material and a second highest concentration of the second material.

In other applications, the concentration of the first fill layer 114 or second fill layer 116 in the bonded conductive feature 204 is less than 25% and preferably less than 10% of the bonded conductive feature 204. Also, in some embodiments, after the initial bonding operation, materials of the first fill layer 114 or the second fill layer 116 may diffuse and/or inter-diffuse into the first or second conductive features 110 during subsequent processing steps or during field use. Thus, the alloy mass 202 formed by the first and second fill layers 114, 116 may comprise a distinct region in the bonded conductive features 204. In other situations as discussed earlier, the first fill layer 114 or the second fill layer 116 may diffuse into the first or second conductive features 110, and their concentration can be more diffuse, where the local concentration of the first fill layer 114 or the second fill layer 116 is less than 5%, and preferably less than 1% of the surrounding conductive material (e.g., the material of interconnects 110).

In various implementations, the alloy mass 202 is formed at low temperatures (e.g., ambient, room temperature, less than 100° C.) and provides a bond with reliable strength and conductivity without higher temperature annealing. For instance, the strength and reliability of the low temperature bond including the alloy mass 202 can be equivalent to or substantially similar to a bond formed through higher temperature annealing.

Although the interconnects 204 between the bonded dies 102 may be formed using the low temperature alloy mass 202, in some embodiments, at least one or more interconnects 204 between the bonded dies 102 may be formed using a hybrid bonding of metal material (e.g. copper) from one interconnect 110 of a first die 102, to metal material (e.g. copper) from another interconnect 110 of a second die 102. For example, while some interconnects 204 are formed with an alloy 202 within the interconnect 204, there may be other interconnects 204 with no alloy 202 within the interconnect 204. In some other embodiments, the contact region between bonded interconnects 110 may be partially formed of a combination of an alloy 202, a copper-to-copper bond, or even include some voids.

In practice the bottom and the top substrates 104 may be similar or identical in geometric proportions. As shown at FIG. 1G, one or more of the substrates 104 of the bonded dies 102 (e.g., the microelectronic assembly 118) may be thinned as desired for further processing or packaging. In other applications, the bottom substrate 104 may be significantly larger than the top substrate 104. For example, the bottom substrate 104 may be comprised of a 200 or 300 mm silicon wafer, or the like, while the top substrate 104 may be comprised of a die 102 that is significantly smaller than the bottom substrate 104. Multiple dies 102 may be bonded to the planarized bonding surface 108 of the bottom substrate 104. The bonded dies 102 may be processed for additional bonding operations, for example, stacking other cleaned dies 102 to the initially bonded dies 102, and so forth. Also, the bottom larger substrate 104 may be further processed, for example, thinning or singulation operations or both, to separate the various bonded dies 102 for subsequent operations.

In various embodiments, the techniques described herein can be used to remedy or mitigate the effects of unintentionally recessed interconnect structures in the surfaces of microelectronic components to be bonded. At least partially filling the excessive recesses 112 in the interconnect features 110 with the one or more additional metal fill layers (114, 116) provides for an improved bonding surface (e.g., less surface topology variance). Direct and hybrid bonding with the improved surface flatness can result in improved and more reliable bonds between the microelectronic components (e.g., dies 102). Further, improved bonds between the interconnect features 110 of the respective dies 102 is achievable with lower temperatures due to the alloy mass 202 formed at the bond joint.

As mentioned above, in other implementations, recesses 112 may be intentionally formed in the exposed surfaces of one or more of the interconnect features 110 to be bonded, to apply the techniques described. For example, either of these scenarios can be illustrated with regard to the process 300 of FIGS. 2A-2E. As shown at FIG. 2A, in an implementation, the process 300 includes forming the conductive features 110 in the insulating layer 106 using a damascene process, for instance. A recess 112 of predetermined size and shape (e.g., area and depth “d1”) can be unintentionally or intentionally formed in the surface of one or more of the interconnect features 110 of one or both of two dies 102 to be bonded. In one embodiment, portions of conductive features 110 may be selectively partially removed with respect to the bonding surface of dielectric 106 to a predetermined depth by etching methods, or the like.

In various implementations, the recess 112 can be intentionally created by patterning and etching the features 110, for example. A photoresist can be applied (not shown) to the feature 110 surface to pattern the feature 110 for predetermined recess 112 locations. The resist can be exposed and then the surface of the feature 110 etched to form the desired recess(es) 112. In various implementations, the predetermined recesses 112 can have a depth “d1” of tens of nanometers to hundreds of nanometers (e.g., 50 to 500 nm), or even deeper as desired.

In alternate implementations, the recess 112 can be created by CMP, or the like, for example when the recess 112 is formed on a large metallic feature 110 during planarization, as described above. In an example, the depth “d1” of the recess 112 can be greater than 15 nm.

As shown at FIG. 2B, bonding the two dies 102 to form the microelectronic assembly 118 without mitigating the recesses 112 can leave voids or gaps 302 between the features 110. The gaps 302 comprise massive defects in the bonds, and can prevent the interconnect structures 204 from being formed, or from being reliably formed from the respective features 110. The massive defects from the gaps 302 can result in premature failure or low device yield.

In an embodiment, the process 300 includes adding one or more fill layers 114, 116, as described above to mitigate the recess(es) 112 and the gaps 302. For instance, as shown at FIG. 2C, a first metal fill layer 114 is formed, added, deposited, coated, or the like, on the exposed surface of the first interconnect features 110 of the first die 102, as described above. As shown at FIG. 2D, a second metal fill layer 116 is formed, added, deposited, or the like, on the exposed surface of the second interconnect features 110 of the second die 102, as described above.

As shown at FIG. 2E, the first and second dies 102 are bonded using direct dielectric to dielectric bonding (without adhesive), at room temperature (e.g., below 100 degrees C.). The bonding of the first and second dies 102 forms a microelectronic assembly 118. In the process, the first fill layer 114 and the second fill layer 116 are pressed together, to bond the interconnect features 110 into a solid interconnect structure 204, with the first fill layer 114 and the second fill layer 116 combining to form an alloy mass 202, which physically and electrically bonds the respective interconnect features 110, forming the interconnect structure 204. In some embodiments, the composition of the alloy mass 202 may comprise a eutectic alloy or a composition close to an eutectic alloy mass.

In some embodiments, a small gap may remain within the alloy mass 202 after bonding (not shown). If the gap is small, it may be inconsequential. However, if the gap is large, it may lead to failures. In that case, the thickness of one or both of the first 114 and/or second 116 fill layers can be increased prior to bonding. Alternately, one or more additional fill layers can be added (additional to the first 114 and second 116 fill layers) prior to bonding to reduce or eliminate the gap.

In various embodiments, the alloy mass 202 has a non-linear concentration of materials, as described above. For example, within the alloy mass 202, a concentration of the material of the first fill 114 can vary from a relatively higher amount at a location disposed toward the first interconnect feature 110 (or the first die 102) to a relatively lower amount toward the second interconnect feature 110 (or the second die 102), and a concentration of the material of the second fill can vary in concentration from a relatively higher amount at a location disposed toward the second interconnect feature 110 (or the second die 102) to a relatively lower amount toward the first interconnect feature 110 (or the first die 102). In an embodiment, a third material comprising an alloy or compound of the first 114 and second 116 materials has a highest concentration within the alloy mass 202 at a location between a first highest concentration of the first material and a second highest concentration of the second material.

In various implementations, the alloy mass 202 is formed at low temperatures (e.g., ambient, room temperature, less than 150° C.) and provides a bond with reliable strength and adequate conductivity. For instance, the strength of the low temperature bond including the alloy mass 202 is equivalent to or substantially similar to a bond formed through higher temperature annealing.

Referring to FIGS. 3A-3C, a process 400 is shown as an alternate implementation of the process 300. For example, FIGS. 3A, 3B, and 3C may be inserted as additional or alternate process steps for the process 300. At FIGS. 3A and 3B, barrier layers 402 and/or 404 (such as nickel, nickel alloys or the like) are deposited onto the recess 112 of one or both dies 102 prior to the first 114 and/or second 116 fill layers. As the dies 102 are bonded together, as shown at FIG. 3C, the first 114 and second 116 fill layers are mated intimately, and form the alloy mass 202 as described above. In alternate embodiments, the barrier layers 402 and/or 404 may or may not combine with the fill layers 114, 116 and/or feature 110 materials to become part of the alloy mass 202.

FIGS. 4A-4C show a process 500 illustrating the use of electroless metal deposition, as a technique for forming the first fill layer 114 and/or the second fill layer 116. The process 500 may be particularly useful in cases where the recess 112 may be particularly deep (e.g., “d1”>50 nm). FIG. 4A illustrates a die 102 with an excessively deep recess 112, either intentionally or unintentionally (e.g., CMP planarization). In an embodiment, the excessively deep recess 112 can be due to one of the dimensions of the recess 112, for example (e.g., greater than 0.05 mm) or the large size of the surface area of the features 110.

At FIG. 4B, an electroless process, such as direct replacement reaction or auto-catalytical metal deposition, for instance, is used to selectively deposit the fill layer 114 onto the exposed surface of the conductive feature 110 with precision control. The fill layer 114 is deposited at a preselected thickness to reduce the recess 112 to a predetermined depth (“d2”) as desired, to meet direct bonding requirements.

In an implementation, the electroless process comprises an immersion silver, copper, or gold process. In another implementation, a seed deposition of palladium, for example, is followed by a deposition of nickel, or the like. For instance, the nickel deposition may be made by a hypophosphite-based immersion process, after depositing the seed layer. In various embodiments, the reducing agent for the immersion process includes one or more of sodium hypophosphite, sodium borohydride, dimethylamine borane, hydrazine, or the like.

At FIG. 4C, two of the dies 102 with the fill layers 114 are bonded together to form the microelectronic assembly 118. As above, the fill layers 114 form an alloy mass 202, which bonds (at low temperatures) the conductive features 110 to form a solid interconnect structure 204.

The electroless deposition technique has several advantages, including the lack of a need for lithography, no vacuum or heat is used, and no additional CMP is required after deposition. Other advantages will also be apparent to a person having skill in the art.

FIG. 5 is a flow diagram illustrating an example process 600 for forming and bonding microelectronic components (such as dies 102, for example), according to an embodiment. For instance, at block 602, the process includes planarizing a bonding surface (such as the bonding surface 108, for example) of a first substrate (such as a first die 102, for example). In the embodiment, the first substrate includes a first metallic pad (such as conductive feature 110, for example) embedded into the bonding surface of the first substrate.

At block 604, the process includes depositing a first metallic material (such as first fill layer 114, for example) onto a surface of the first metallic pad. In an implementation, the process includes forming a first recessed portion (such as recess 112, for example) in a surface of the first metallic pad and at least partially filling the first recessed portion with the first metallic material. In various embodiments, the recess is the product of one or more process steps, and may be intentional or unintentional.

In an implementation, the process includes depositing a conductive barrier layer (such as barrier 402, for example) onto the surface of the first metallic pad prior to depositing the first metallic material. In an alternate embodiment, the process includes depositing multiple fill layers, barrier layers, or combinations of the same.

At block 606, the process includes planarizing a bonding surface of a second substrate (such as a second die 102, for example). The second substrate includes a second metallic pad embedded into the bonding surface of the second substrate.

At block 608, the process includes depositing a second metallic material (such as second fill layer 116, for example) onto a surface of the second metallic pad. In an implementation, the process includes forming a second recessed portion in a surface of the second metallic pad and at least partially filling the second recessed portion with the second metallic material. In various embodiments, if present, the recess is the product of one or more process steps, and may be intentional or unintentional.

In an implementation, the process includes depositing a conductive barrier layer (such as barrier layer 404, for example) onto the surface of the second metallic pad prior to depositing the second metallic material. In an alternate embodiment, the process includes depositing multiple fill layers, barrier layers, or combinations of the same.

In an implementation, the process includes depositing the first metallic material and/or the second metallic material via immersion electroless metal deposition. In some embodiments, the melting point of the first fill layer and/or the second fill layer may be higher than the melting point of the conductive features 110. For example, the first fill layer and/or the second fill layer may be comprised of a very thin layer of nickel, cobalt, or manganese, or their various alloys, while the conductive features may be comprised of copper or of copper alloys, for example.

The deposited first fill layer and/or the second fill layer may be continuous or discontinuous, and the thickness of the first fill layer and/or the second fill layer may range between 0.5 to 500 nm, and preferably between 1 to less than 50 nm. In some embodiments, the first fill layer and/or the second fill layer may be comprised of an alloy of at least one higher-temperature melting point metal and at least one lower-temperature melting point metal, for example, a cobalt-tin or cobalt-indium alloy, or the like, or an element with a relatively low concentration of one or more impurities. The composition of the alloy or element may include a proportion of the fill material (or impurities) that is less than 2% of the total composition of the interconnect pads combined, or less than 5000 ppm, or less than 500 ppm.

In one embodiment, the first fill layer and/or the second fill layer is thinner than the respective conductive feature. In one application, the first fill layer and/or the second fill layer is at least 5 times thinner than the thickness of the respective conductive feature.

At block 610, the process includes bonding the bonding surface of the second substrate to the bonding surface of the first substrate via direct dielectric-to-dielectric bonding without adhesive. In an implementation, the process includes pressing the first metallic pad to the second metallic pad during the bonding, and forming an alloy mass (such as alloy mass 204, for example) between the first metallic pad and the second metallic pad. In the implementation, the alloy mass comprises an alloy of the first and second metallic materials. In an embodiment, the process includes bonding the second metallic pad to the first metallic pad via the alloy mass, to form a single solid interconnect structure (such as interconnect structure 204, for example). In another embodiment, a concentration of the first metallic material and a concentration of the second metallic material of the alloy mass is non-linear throughout the alloy mass.

During the bonding process, the conductive features of the top substrate and the composite conductive features of the bottom substrate (comprising the lower melting point conductive features and the higher melting point fill layer), thermally deforms to mate intimately, and atoms from the conductive features (for example copper) diffuse into the fill layer (for example cobalt). In this configuration, the bonded substrate can include a portion having a conductive interconnect structure comprised of mostly copper, for example, and another portion having an interconnect structure including an alloy mass (for example, a copper-cobalt alloy mass) comprised of the conductive feature (e.g., copper) and a fill layer (e.g., cobalt).

In various embodiments, some process steps may be modified or eliminated, in comparison to the process steps described herein.

The techniques, components, and devices described herein are not limited to the illustrations of FIGS. 1A-5, and may be applied to other designs, types, arrangements, and constructions including with other electrical components without departing from the scope of the disclosure. In some cases, additional or alternative components, techniques, sequences, or processes may be used to implement the techniques described herein. Further, the components and/or techniques may be arranged and/or combined in various combinations, while resulting in similar or approximately identical results.

CONCLUSION

Although the implementations of the disclosure have been described in language specific to structural features and/or methodological acts, it is to be understood that the implementations are not necessarily limited to the specific features or acts described. Rather, the specific features and acts are disclosed as representative forms of implementing example devices and techniques.

Claims

1. A method, comprising:

forming a bonding surface of a first substrate, the first substrate including a first metallic feature embedded into the bonding surface of the first substrate;
depositing a first metallic material onto a surface of the first metallic feature;
forming a bonding surface of a second substrate, the second substrate including a second metallic feature embedded into the bonding surface of the second substrate;
depositing a second metallic material onto a surface of the second metallic feature;
forming an alloy mass between the first metallic feature and the second metallic feature, the alloy mass comprising an alloy of the first and second metallic materials, wherein a concentration of the first metallic material and a concentration of the second metallic material of the alloy mass is non-linear throughout the alloy mass; and
bonding the bonding surface of the second substrate to the bonding surface of the first substrate via direct bonding without adhesive.

2. The method of claim 1, wherein the alloy mass comprises a eutectic alloy mass.

3. The method of claim 1, further comprising bonding the second metallic feature to the first metallic feature via the alloy mass, to form a single solid interconnect structure.

4. The method of claim 1, further comprising:

forming a first recessed portion in a surface of the first metallic feature and a second recessed portion in a surface of the second metallic feature; and
at least partially filling the first recessed portion with the first metallic material and at least partially filling the second recessed portion with the second metallic material.

5. The method of claim 1, further comprising depositing a conductive barrier layer onto the surface of the first metallic feature and/or the surface of the second metallic feature prior to depositing the first metallic material or the second metallic material, respectively.

6. The method of claim 1, further comprising depositing the first metallic material and/or the second metallic material via immersion electroless metal deposition.

7. A method, comprising:

forming a bonding surface of a first substrate, the first substrate including a first metallic feature embedded into the bonding surface of the first substrate;
forming a bonding surface of a second substrate, the second substrate including a second metallic feature embedded into the bonding surface of the second substrate;
forming a discontinuous layer of a first metallic material onto a surface of the first and/or second metallic features, the first metallic material being different from a material of the first or second metallic features; and
bonding the bonding surface of the second substrate to the bonding surface of the first substrate via direct bonding, and mechanically or electrically coupling the first metallic feature to the second metallic feature.

8. The method of claim 7, wherein the first metallic feature is directly bonded to the second metallic feature by thermal deformation.

9. The method of claim 7, wherein the first metallic material comprises cobalt, nickel, or manganese.

10. The method of claim 7, wherein the first metallic material has a melting point higher than a melting point of portions of a material of the first metallic feature or a material of the second metallic feature.

11. A method, comprising:

forming a bonding surface of a first substrate, the first substrate including a first metallic feature embedded into the bonding surface of the first substrate;
depositing a first metallic material different from a material of the first metallic feature onto a surface of the first metallic feature;
forming a bonding surface of a second substrate, the second substrate including a second metallic feature embedded into the bonding surface of the second substrate;
depositing a second metallic material different from a material of the second metallic feature and different from the first metallic material onto a surface of the second metallic feature;
bonding the bonding surface of the second substrate to the bonding surface of the first substrate via direct bonding without adhesive; and
mechanically and electrically coupling the first metallic feature to the second metallic feature via the first metallic material and the second metallic material.

12. The method of claim 11, further comprising forming an alloy mass between the first metallic feature and the second metallic feature, the alloy mass comprising an alloy of the first and second metallic materials, and bonding the first metallic feature to the second metallic feature via the alloy mass.

13. The method of claim 12, wherein a concentration of the first metallic material and a concentration of the second metallic material of the alloy mass is non-linear throughout the alloy mass.

Referenced Cited
U.S. Patent Documents
4612083 September 16, 1986 Yasumoto
5753536 May 19, 1998 Sugiyama et al.
5771555 June 30, 1998 Eda et al.
6080640 June 27, 2000 Gardner et al.
6423640 July 23, 2002 Lee et al.
6465892 October 15, 2002 Suga
6756305 June 29, 2004 Conn
6887769 May 3, 2005 Kellar et al.
6908027 June 21, 2005 Tolchinsky et al.
7045453 May 16, 2006 Canaperi et al.
7105980 September 12, 2006 Abbott et al.
7193423 March 20, 2007 Dalton et al.
7750488 July 6, 2010 Patti et al.
7803693 September 28, 2010 Trezza
8183127 May 22, 2012 Patti et al.
8349635 January 8, 2013 Gan et al.
8377798 February 19, 2013 Peng et al.
8441131 May 14, 2013 Ryan
8476165 July 2, 2013 Trickett et al.
8482132 July 9, 2013 Yang et al.
8501537 August 6, 2013 Sadaka et al.
8524533 September 3, 2013 Tong et al.
8620164 December 31, 2013 Heck et al.
8647987 February 11, 2014 Yang et al.
8697493 April 15, 2014 Sadaka
8716105 May 6, 2014 Sadaka et al.
8802538 August 12, 2014 Liu
8809123 August 19, 2014 Liu et al.
9142517 September 22, 2015 Liu
9171756 October 27, 2015 Enquist et al.
9224704 December 29, 2015 Landru
9230941 January 5, 2016 Chen et al.
9257399 February 9, 2016 Kuang et al.
9299736 March 29, 2016 Chen et al.
9312229 April 12, 2016 Chen et al.
9337235 May 10, 2016 Chen et al.
9394161 July 19, 2016 Cheng et al.
9437572 September 6, 2016 Chen et al.
9443796 September 13, 2016 Chou et al.
9461007 October 4, 2016 Chun et al.
9496239 November 15, 2016 Edelstein et al.
9536848 January 3, 2017 England et al.
9559081 January 31, 2017 Lai et al.
9620481 April 11, 2017 Edelstein et al.
9656852 May 23, 2017 Cheng et al.
9679867 June 13, 2017 Ashidate
9691733 June 27, 2017 Shen
9723716 August 1, 2017 Meinhold
9728521 August 8, 2017 Tsai et al.
9761463 September 12, 2017 Tanida
9773741 September 26, 2017 Gu
9799587 October 24, 2017 Fujii et al.
9893004 February 13, 2018 Yazdani
9929050 March 27, 2018 Lin
9941241 April 10, 2018 Edelstein et al.
9941243 April 10, 2018 Kim
9953941 April 24, 2018 Enquist
9960142 May 1, 2018 Chen et al.
10026605 July 17, 2018 Doub et al.
10075657 September 11, 2018 Fahim et al.
10192850 January 29, 2019 Hagelin
10224286 March 5, 2019 England
10269756 April 23, 2019 Uzoh
10276619 April 30, 2019 Kao et al.
20040084414 May 6, 2004 Sakai et al.
20060057945 March 16, 2006 Hsu et al.
20070111386 May 17, 2007 Kim et al.
20080116584 May 22, 2008 Sitaram
20100255262 October 7, 2010 Chen et al.
20110084403 April 14, 2011 Yang et al.
20130307165 November 21, 2013 Wang
20130320556 December 5, 2013 Liu
20140153210 June 5, 2014 Uzoh
20140175655 June 26, 2014 Chen
20140191418 July 10, 2014 Cheng
20140353828 December 4, 2014 Edelstein et al.
20150064498 March 5, 2015 Tong
20150162294 June 11, 2015 Kawasaki
20150262989 September 17, 2015 Kawasaki
20160043060 February 11, 2016 Kabe
20160093601 March 31, 2016 Ding
20160111386 April 21, 2016 England
20160133598 May 12, 2016 Baudin et al.
20160190103 June 30, 2016 Kabe
20160343682 November 24, 2016 Kawasaki
20170062366 March 2, 2017 Enquist
20170179181 June 22, 2017 Kwon
20170294394 October 12, 2017 Suzuki
20170358518 December 14, 2017 Wu
20180175012 June 21, 2018 Wu et al.
20180182639 June 28, 2018 Uzoh et al.
20180182666 June 28, 2018 Uzoh et al.
20180219038 August 2, 2018 Gambino et al.
20180269161 September 20, 2018 Wu
20180323177 November 8, 2018 Yu et al.
20180323227 November 8, 2018 Zhang et al.
20180331066 November 15, 2018 Uzoh et al.
20190115277 April 18, 2019 Yu et al.
20190131277 May 2, 2019 Yang et al.
Foreign Patent Documents
2013-33786 February 2013 JP
2018-160519 October 2018 JP
2005-043584 May 2005 WO
Other references
  • International Search Report and Written Opinion for PCT/US2019/024083, dated Jul. 11, 2019, 15 pages.
  • Ker, Ming-Dou et al., “Fully Process-Compatible Layout Design on Bond Pad to Improve Wire Bond Reliability in CMOS ICs,” IEEE Transactions on Components and Packaging Technologies, Jun. 2002, vol. 25, No. 2, pp. 309-316.
  • Moriceau, H. et al., “Overview of Recent Direct Wafer Bonding Advances and Applications”, Advances in Natural Sciences-Nanoscience and Nanotechnology, 2010, 12 pages.
  • Nakanishi, H. et al., “Studies on SiO2-SiO2 Bonding with Hydrofluoric Acid. Room Temperature and Low Stress Bonding Technique for MEMS,” Tech. Research Lab., 200, Elsevier Science S.A., 8 pages.
  • Oberhammer et al., “Sealing of Adhesive Bonded Devices on Wafer Level,” in Sensors and Actuators A, vol. 110, No. 1-3, pp. 407-412, Feb. 29, 2004, see pp. 407-412; and figures 1(a)-1(I), 6 pages.
  • Plobi et al., “Wafer Direct Bonding: Tailoring Adhesion Between Brittle Materials,” Materials Science and Engineering Review Journal, 1999, 88 pages.
Patent History
Patent number: 10790262
Type: Grant
Filed: Mar 25, 2019
Date of Patent: Sep 29, 2020
Patent Publication Number: 20190319007
Assignee: Invensas Bonding Technologies, Inc. (San Jose, CA)
Inventors: Cyprian Emeka Uzoh (San Jose, CA), Jeremy Alfred Theil (Mountain View, CA), Liang Wang (Newark, CA), Rajesh Katkar (Milpitas, CA), Guilian Gao (San Jose, CA), Laura Wills Mirkarimi (Sunol, CA)
Primary Examiner: Scott B Geyer
Application Number: 16/363,894
Classifications
Current U.S. Class: Having Diverse Electrical Device (438/59)
International Classification: H01L 21/00 (20060101); H01L 23/00 (20060101);