SYSTEMS AND METHODS FOR VARIABLE MODE PLASMA ENHANCED PROCESSING OF SEMICONDUCTOR WAFERS

Variable mode plasma system and method for processing a semiconductor wafer. The modulation of the plasma potential relative to the semiconductor wafer is varied for different process steps. A capacitive shield may be selectively grounded to vary the level of capacitive coupling and modulation of the plasma. Process pressures, gases and power level may also be modified for different process steps. Plasma properties may easily be tailored to specific layers and materials being processed on the surface of the wafer. Variable mode processes may be adapted for (i) removal of photoresist after high-dose ion implant, (ii) post metal etch polymer removal, (iii) via clean, and (iv) other plasma enhanced processes.

Skip to: Description  ·  Claims  · Patent History  ·  Patent History
Description
BACKGROUND

[0001] 1. Reference to Related Applications The present application claims priority from provisional application No. 60/067,919 filed Nov. 17, 1997. Provisional application No. 60/067,919 is hereby incorporated herein by reference in its entirety. The present application also hereby incorporates by 5 reference in its entirety U.S. patent application entitled “Downstream Surface Cleaning Process” by Craig Ranft, Wolfgang Helle, Robert Guerra and Brady F. Cole, being filed concurrently herewith on Nov. 16, 1998.

[0002] 2. Field of the Invention

[0003] The field of the present invention relates in general to semiconductor processing. More particularly, the field of the invention relates to systems and methods for variable mode plasma enhanced processing of semiconductor wafers.

[0004] 3. Background

[0005] During computer chip manufacturing, various materials are deposited onto a silicon wafer to convert the silicon wafer into a functional integrated circuit device. For instance, a bare silicon wafer may be masked with materials such as silica (silicon oxide or oxide), silicon nitride, and photoresist to protect areas on the wafer during different process steps. Subsequent to various processing steps, materials need to be removed from the surface of the wafer. Aggressive plasma enhanced processing may be desired to remove material quickly and completely, but may expose the wafer to damage.

[0006] While such difficulties may be encountered in a variety of processes, the removal of photoresist after high-dose ion implant is illustrative. Photoresist is exposed to a number of process steps that change the nature and physical qualities of the photoresist during the time that it is present on a wafer. A simplified discussion of how a semiconductor gate oxide device is formed illustrates how photoresist is used and how its characteristics are changed during use.

[0007] To form a semiconductor gate oxide device, a thick layer of oxide is usually grown on the surface of the silicon wafer. Photoresist is spun onto the oxide layer and patterned using ultraviolet light and a patterning mask, and the photoresist is subsequently developed to provide protected oxide areas and unprotected oxide areas. In a commercial process, the photoresist is developed rapidly, which usually traps some of the solvent in which the photoresist was suspended below the cured surface.

[0008] After developing the patterned photoresist, oxide is removed from the unprotected areas using reactive-ion etching, for example. Once the desired oxide pattern is established, metal species such as ions, free radicals, other energetic species, or other metal atoms are implanted into silicon underlying the patterned oxide to form the gate in the semiconductor device. This process is often referred to as high-dose ion implant. Metal species are driven through the oxide and into the silicon to a desired concentration and depth using a selected dose of metal species and high energy, and these species are also unavoidably driven into the photoresist during this process. It has been theorized that these species modify the photoresist by providing sufficient energy to drive hydrogen out of the photoresist and form double- and triple-bonded carbon atoms in the surface layer of the photoresist, creating a hardened crust and making the photoresist difficult to remove.

[0009] To complete the semiconductor gate oxide device, the contacts of the device are metalized and the photoresist is removed from the wafer. It is highly desirable to remove the photoresist with high selectivity and minimal disturbance to the Si, SiO2, Si3N4, metal, and other structural and/or masking materials present on the wafer so that device performance and reliability are ensured and so that further processing of the wafer remains uncomplicated by the resist strip. However, photoresist usually becomes very difficult to remove as a result of the numerous processing steps to which it is exposed. A number of methods have been developed in an attempt to remove this hardened and changed photoresist.

[0010] One method of removing photoresist (stripping) is a wet-chemical method as exemplified by Japanese patent application JP 55064233. In this method, a wafer having a photoresist layer is washed with a chlorinated aliphatic hydrocarbon and lower alkanol. The wafer must be washed and dried after stripping the photoresist with the chlorinated hydrocarbon and alcohol mixture, which increases the number of steps required to process wafers and consequently increases the time required to process wafers.

[0011] Another method of stripping photoresist from a wafer is a dry method that utilizes the reactive species created in a dry plasma to react with photoresist and strip it from the surface of the wafer. In a commonly-used commercial process, photoresist is removed in two steps. First, a plasma of oxygen and forming gas (a nonexplosive mixture of nitrogen and hydrogen gases) is created, and the plasma products are passed over the photoresist layer on the wafer at a temperature of approximately 150° C. for approximately 5-20 minutes to remove the layer of crust from the surface. This step usually produces only partial removal of the crust. After this first step, a plasma formed from oxygen or a mixture of oxygen and nitrogen is passed over the wafer for 1-2 minutes and at a temperature of approximately 250° C. to remove the remaining photoresist.

[0012] Conventional plasma systems designed to minimize damage to the wafer rely primarily on oxygen atoms and other disassociated neutral species to remove photoresist. Typically such systems are designed so that the charged, energetic species produced by the plasma tend to recombine prior to contact with the wafer or are isolated from the wafer in order to minimize potential damage to the wafer surface. The wafer is especially sensitive to damage from charged, energetic species during the final phase of photoresist removal when the areas of the wafer previously covered by the photoresist are exposed. While reducing exposure to charged, energetic species reduces the potential for damage to the wafer, it also makes it difficult to remove the hardened crust of the resist formed from high-dose ion implant.

[0013] What is needed is a system and method for varying the properties of a plasma for variable mode processing of a semiconductor wafer. Preferably, such a system and method would allow more aggressive plasma properties to be used for selected processing steps, such as removal of hardened photoresist crust, and less aggressive plasma properties to be used for more sensitive steps. Preferably, the plasma properties may be modified using a simple switch without interrupting processing.

SUMMARY OF THE INVENTION

[0014] Aspects of the present invention provide a system and method for selectively varying plasma properties for processing of a semiconductor wafer. In an exemplary embodiment, the modulation of the plasma potential relative to a semiconductor wafer may be varied for different process steps. In the exemplary embodiment, a capacitive shield may be selectively grounded to vary the level of capacitive coupling and modulation of the plasma. In addition, the process pressure, gases, and power level may be modified to modify the plasma properties for different process steps. It is an advantage of these and other aspects of the present invention that plasma properties may be substantially modified without interrupting processing. The plasma properties may be tailored to the specific layers and materials being processed on the surface of the semiconductor wafer throughout the processing cycle.

[0015] Another aspect of the present invention provides an inductively coupled plasma reactor with variable capacitive shielding to control the properties of the plasma. In one embodiment, a split or slotted capacitive shield (also referred to as a split Faraday shield) is provided between the induction coil and reactor chamber. When the shield is ungrounded relative to the induction coil (i.e., floating), a high energy plasma is formed and energetic charged species are driven toward the surface of the semiconductor wafer. This mode of operation may be used to rapidly remove layers from the surface of a semiconductor wafer. In particular, hardened layers, such as a photoresist crust, may be removed.

[0016] Grounding the shield reduces the capacitive coupling between the coil and the plasma. Without capacitive coupling driving the charged species toward the wafer surface, the plasma retracts and the density of the energetic, charged species is reduced. Nevertheless, abundant disassociated neutral species are produced in the plasma which diffuse over the wafer surface. This mode of operation may be used to remove layers of material from sensitive areas of the wafer. In particular, softer underlying layers of photoresist may be removed without damaging the semiconductor device.

[0017] Alternative mechanisms for varying capacitive shielding may also be used. For instance, a shield may be moved radially away from the reactor chamber to increase the size of the slots and decrease the level of shielding. In addition, the shield may be raised or lowered to remove all or part of the shielding. The width of the slots in the shield may also be increased or decreased by rotating an inner shield to overlap a portion of the slots. A sliding door or other mechanism may also be used. In addition, the inductor may remain shielded and an RF bias may be selectively applied to the wafer support to induce capacitive coupling. An RF bias may also be selectively applied to the shield to induce capacitive coupling.

[0018] Capacitive coupling and/or modulation of the plasma potential may also be modified in some embodiments without the use of variable capacitive shielding. For instance, a relatively high level of capacitive coupling may be provided by an inductor adjacent to a plasma generation chamber. The capacitive coupling may be reduced by raising the inductor above the chamber, so that the inductor is not aligned in a plane adjacent to the plasma. The power to the inductor may need to be increased, so inductive fields extending radially below the inductor are sufficient to sustain the plasma. Nevertheless, capacitive coupling is reduced.

[0019] It is an advantage of these and other aspects of the present invention that the properties of a plasma may easily be modified without interrupting processing. In particular, plasmas with different properties may be used to remove different layers on a semiconductor wafer without extinguishing the plasma or requiring two different process chambers.

[0020] Of course, in alternate embodiments, two different reactors with different plasma properties could be used to remove the different layers of material. A reactor with an energetic plasma, such as a capacitive diode reactor or an unshielded or partially shielded inductively coupled reactor, could be used to remove hardened layers of material. A reactor with a lower energy plasma isolated from the semiconductor wafer surface, such as a shielded inductively coupled reactor with a charged particle filter, could be used to remove more sensitive layers.

[0021] Aspects of the present invention also provide a system and method for rapidly removing both hard and underlying soft layers of materials from the surface of a semiconductor wafer while reducing the potential for damaging underlying areas of the semiconductor wafer. The surface of the semiconductor wafer is exposed to charged, energetic species from a plasma to remove hardened layers, such as a photoresist crust hardened from high-dose ion implant. After the hardened layers are removed, modulation of the plasma potential relative to the wafer is reduced which, in turn, reduces bombardment of the wafer by charged, energetic species. In addition, the density of charged species may be reduced. The softer underlying layer of material is removed in large part by reactions with disassociated neutral species which diffuse over the wafer rather than by high energy bombardment with charged species.

[0022] It is an advantage of these and other aspects of the present invention that a layer of material covered by a hardened crust may be rapidly removed from the surface of a semiconductor wafer without damaging sensitive underlying areas of the semiconductor wafer.

[0023] Aspects of the present invention also provide variable mode plasma-enhanced processes adapted for (i) removal of photoresist after high-dose ion implant; (ii) post metal etch polymer removal; (iii) via clean; and (iv) other plasma enhanced processes. In each case, modulation of the plasma potential, the process pressures and gases, and the power level and frequency may be selectively varied to allow efficient, high throughput processing with reduced potential for damage to the semiconductor wafer.

BRIEF DESCRIPTION OF THE DRAWINGS

[0024] These and other features and advantages of the present invention will become more apparent to those skilled in the art from the following detailed description in conjunction with the appended drawings in which:

[0025] FIG. 1 illustrates an inductively coupled plasma reactor according to an exemplary embodiment of the present invention;

[0026] FIG. 2 illustrates a circuit used to provide variable impedances in an alternate embodiment of the present invention;

[0027] FIGS. 3A and 3B illustrate process steps for removal of photoresist after high-dose ion implant in accordance with exemplary embodiments of the present invention;

[0028] FIG. 4 illustrates process steps for post metal etch polymer removal in accordance with an exemplary embodiment of the present invention;

[0029] FIG. 5 illustrates process steps for via clean in accordance with an exemplary embodiment of the present invention; and

[0030] FIGS. 6A and 6B illustrate an inductively coupled plasma reactor with a movable inductor according to an alternate embodiment of the present invention.

DESCRIPTION

[0031] Aspects of the present invention provide a system and method for variable mode plasma-enhanced processing of a semiconductor wafer. In particular, an exemplary embodiment of the present invention may be used to remove photoresist from a semiconductor wafer after high-dose ion implant, for post metal etch polymer removal, for via clean and for other plasma enhanced processes.

[0032] In an exemplary embodiment, a semiconductor wafer is placed in a plasma reactor for processing. In one mode, an energetic plasma is formed to produce both charged species and neutral dissociated species. Capacitive coupling is induced between the semiconductor wafer and the plasma and charged species are driven to the surface of the wafer. The bombardment by the charged species and reaction with dissociated neutral species together provide aggressive processing which may be used, for instance, to remove the hard outer crust on photoresist after high-dose ion implant. In this mode, the peak-to-peak modulation of the plasma potential may range from about 30 Volts to 100 Volts, or any range subsumed therein, and forms a sheath near the wafer surface with an average direct current potential of between about 20 Volts and 60 Volts, or any range subsumed therein. This mode of plasma processing may be provided by using an inductively coupled plasma reactor without capacitive shielding, with partial capacitive shielding, or with capacitive shielding that is ungrounded or poorly coupled to ground. In addition, the energy of bombardment by charged species may be enhanced by applying a bias to the wafer holder.

[0033] In another mode, the capacitive coupling between the plasma and the semiconductor wafer may be decreased to reduce bombardment by charged species and isolate the plasma from the wafer surface. In this mode, processing is carried out by dissociated neutral species and reduced bombardment by charge particles. In this mode, the peak-to-peak modulation of the plasma potential may range from about 2 Volts to 10 Volts, or any range subsumed therein, and the average direct current potential between the plasma and the wafer may range from about 10 Volts to 15 Volts, or any range subsumed therein. This mode may be provided by using an inductively coupled plasma reactor with a desired level of capacitive shielding.

[0034] By varying the amount of capacitive shielding and/or the effective coupling of the shield to ground, the modulation of the plasma potential relative to the wafer may be varied from a very low level of from a few volts to as high as 100 volts or more with effectively no shielding, or any range subsumed therein.

[0035] The foregoing techniques allow the plasma potential relative to the wafer surface to be carefully controlled and varied for different process steps. In addition, the processing pressure and gases and power level and frequency may be selectively varied along with the plasma potential to tailor the plasma properties to specific process steps without interrupting processing.

[0036] While the exemplary embodiment of the present invention is described below with reference to particular plasma reactor configurations and processes, it will be readily apparent that other configurations and processes may be used in conjunction with aspects of the present invention. Descriptions of additional exemplary reactor configurations and processes which may be used in conjunction with aspects of the present invention are provided in U.S. Pat. No. 5,534,231, U.S. Pat. No. 5,234,529, U.S. patent application Ser. No. 08/340,696 entitled “Inductive Plasma Reactor” filed Nov. 15, 1994 and assigned to the assignee of the present application, U.S. patent application Ser. No. 08/811,893 entitled “ICP Reactor Having a Conically-Shaped Plasma-Generating Section” filed Mar. 5, 1997 and assigned to the assignee of the present invention, and U.S. patent application Ser. No. 08/590,228 entitled “Hydrocarbon-Enhanced Dry Stripping of Photoresist” filed Nov. Jan. 23, 1996 and assigned to the assignee of the present application, each of which is incorporated herein by reference in its entirety. In particular, to enhance throughput and reduce redundancy of components in a commercial embodiment, dual wafer, dual plasma generation chamber configurations as described in U.S. patent application Ser. Nos. 08/340,696, 08/811,893 and 08/590,228 may be used. Additional processes which may be used in connection with aspects of the present invention are described in copending U.S. patent application entitled “Downstream Surface Cleaning Process” by Craig Ranft, Wolfgang Helle, Robert Guerra and Brady F. Cole, being filed concurrently herewith on Nov. 16, 1998, which is incorporated herein by reference.

[0037] FIG. 1 illustrates an inductively coupled plasma reactor system 100 used in the exemplary embodiment of the present invention. Referring to FIG. 1, a semiconductor wafer 102 to be processed is placed on an aluminum support 104 in processing chamber 106. Support 104 may be heated or cooled by a heating or cooling system (not shown) to heat or cool wafers for processing. Gases are exhausted from the system through exhaust outlet 112. The support 104 rests on a ceramic stand (not shown) which is slotted to allow gas to escape through outlet 112.

[0038] Support 104 may be selectively coupled to ground through switch 110. When the switch is closed, charged particles can be driven to ground through semiconductor wafer 102 and support 104. Thus, when support 104 is grounded, bombardment by charged particles is enhanced. Therefore, switch 110 is typically closed when higher levels of ion bombardment are being used to etch wafer 102. When switch 110 is open, the potential of the support and wafer tend to float at values near the potential of the plasma and ion bombardment is reduced. Therefore, switch 110 is typically open when neutral species and lower levels of bombardment are used to process sensitive areas of the wafer surface. In the exemplary embodiment, switch 110 is a vacuum switch relay to ground which allows the support to be quickly and easily switched between grounded and ungrounded states. In alternative embodiments, support 104 may be selectively coupled to an RF bias to accelerate ions toward the wafer for enhanced processing. See, e.g., U.S. Pat. No. 5,534,231.

[0039] A plasma generation chamber 114 is situated above the processing chamber 106. The top plate 116 of the processing chamber 106 provides a common ground for the components of the plasma generation chamber, and comprises a conductive material such as aluminum or the like. The walls of the plasma generation chamber are made of a nonconductive material such as quartz or alumina and have a thickness of approximately 4 to 6 mm. The generation chamber walls are fixed at their base to the top plate 116 of the processing chamber. The top lid 118 of the plasma generation chamber can be aluminum or similar conductive material or can be the same material as the generation chamber walls. An o-ring seal 120 is compressed between top lid 118 and the plasma generation chamber walls to provide a vacuum seal. A gas inlet 122 is provided through top lid 118 to provide gases into plasma generation chamber 114.

[0040] An inductor adjacent to the plasma generation chamber, such as induction coil 124, provides power into the plasma generation chamber. In the exemplary embodiment induction coil 124 is a helical coil of copper tubing with three turns encircling the plasma generation chamber. Other inductor configurations with a different size, number of turns or in a different shape, such as a conical or pancake shape, may also be used. Induction coil 124 is connected to a radio frequency (RF) source 126 through an impedance match network or transformer (not shown). Inductively-coupled RF power is typically supplied to the reactor at one of the Industry, Scientific, Medical (ISM) standard frequencies of 13.56, 27.12, 40.68 MHz, or other harmonics of the 13.56 MHz ISM standard frequency. Usually the power is supplied to the coils through an impedance match network or transformer at a frequency in the range from 1 to 27 MHz, although lower frequencies may be used to prevent ion drive-in into the wafer being processed. RF energy is typically applied to the induction coil at a power of between about 900 and 3,000 Watts, or any range subsumed therein.

[0041] A split Faraday shield 128 is provided between the induction coil 124 and the plasma generation chamber 114. The bottom of the split Faraday shield 128 sits on an insulating ring 130 which may be used to electrically isolate the shield from the top plate 116 of the processing chamber. Compressed o-ring seals (not shown) are used to provide a vacuum seal. A switch 132 selectively couples the shield to the ground potential provided by the top plate 116.

[0042] When the shield is ungrounded, induction coil 124 inductively and capacitively couples power into the plasma generation chamber 114. The inductive coupling tends to accelerate charged particles circumferentially in a plane substantially parallel to the semiconductor wafer. The capacitive coupling modulates the plasma and drives charged particles perpendicularly toward the semiconductor wafer. In addition, the plasma produces neutral dissociated species which diffuse over the semiconductor wafer surface.

[0043] When the shield is grounded, it substantially reduces capacitive coupling between the coil and the plasma. While capacitive coupling is reduced, there is still some capacitive coupling through slots 134 formed in the shield. The reduction in capacitive coupling, in turn, reduces the modulation of the plasma potential and the bombardment of the semiconductor wafer by charged particles. Neutral activated species continue to be produced and flow over the wafer surface.

[0044] The number and size of the slots formed in the shield may be varied to change the level of capacitive coupling. In the exemplary embodiment, the Faraday shield 128 forms slots which are narrow, about 1 cm wide, along the length of the shield both above and below the coil. However, the slots are much wider in the region adjacent to the turns of the coil. In this region, the width of the slots is typically in the range of from about 2 centimeters to as wide as about 90% of the distance between the slots from center to center, or any range subsumed therein. The region of the shield forming the wider slots is generally aligned transverse to the turns of the helical coil, extending from about 0.5 centimeter to several centimeters below the turns of the coil to about 0.5 centimeter to several centimeters above the turns of the coil. In the exemplary embodiment, for use with 200 mm Silicon wafers, the diameter of the Faraday Shield is about 200 mm with slots about 80 mm in distance from one another from center to center. In this embodiment the width of the slots in the region of the shield adjacent to the turns of the coil is about 6 cm to 6.5 cm.

[0045] The purpose of widening the slots in the region near the induction coil is to permit a desired level of capacitive coupling of the coil to the plasma even when the shield is grounded, thereby enhancing the energy transfer to electrons in this region and increasing the rates of ionization and production of excited species in the plasma chamber near the coil. This also serves to drive some RF current through the plasma (on the order of Amperes of current at 13.56 MHz) and modulate the plasma potential to some degree (some tens of Volts at 13.56 MHz). However, the modulation of the plasma potential is not sufficient to cause the plasma to diffuse out of the plasma generation chamber and into the process chamber as long as the Faraday Shield is grounded and able to serve as an RF anode to receive RF currents driven into the plasma by capacitive coupling from the induction coil.

[0046] If the Faraday shield is poorly coupled to ground and thereby floats electrically (e.g., with switch 132 open), however, the RF currents coming from the coil into the plasma by capacitive coupling cannot easily return to ground through the shield and must flow elsewhere to grounded surfaces. Such surfaces include support 104 and the walls of the processing chamber. In this case, the plasma expands sufficiently to extend out of the plasma chamber and cover the region above the wafer. A relatively narrow (order of magnitude 5 mm) dark space sheath is formed between the plasma and the wafer. Ions from the plasma accelerate across the sheath and bombard the wafer.

[0047] Switch 132 is used to selectively couple the Faraday shield 128 to the ground potential provided by top plate 116. When switch 132 is open, the potential of the shield tends to float and substantial capacitive coupling from the induction coil penetrates the shield. Therefore, switch 132 is typically open when higher levels of ion bombardment are desired for etching wafer 102. When switch 132 is closed, the shield is grounded which substantially reduces capacitive coupling from the induction coil to the plasma. Therefore, switch 132 is typically closed when neutral species are used with lower levels of bombardment to process sensitive areas of the wafer surface. In the exemplary embodiment, switch 132 is a vacuum switch relay to ground which allows the shield to be quickly and easily switched between grounded and ungrounded states.

[0048] FIG. 2 illustrates a sample circuit which may be used to provide switches 110 and 132 in alternate embodiments of the present invention. Switch 110 may be formed by providing a circuit with a variable impedance between the support 104 and a ground potential. The switch is open when the circuit is tuned to provide a high impedance of the support to ground at the frequency of excitation. The variable impedance may be provided by a series LC circuit, as shown in FIG. 2, inserted between the support and a ground potential. The capacitance C is provided by a variable capacitor to allow the impedance of the circuit to be varied. The capacitance C is selected taking into account stray capacitance, Cstray, between the aluminum block and the other elements of the plasma reactor chamber. When a high impedance is desired, the variable capacitor is tuned so the circuit provides an effective inductance in parallel resonance with the stray capacitance, Cstray, at the frequency of excitation. When a low impedance is desired, the variable capacitor is tuned to be in series resonance with inductance L at the frequency of excitation. For RF power of between about 500 to 1,500 Watts at 13.56 MHz, values for inductance L may range from about 0.1 to 2.0 microhenry and the value for capacitance C may be adjustable up to about 50 to 1,000 picofarads.

[0049] Switch 132 may be formed by providing a similar series LC circuit with a variable impedance between the shield 128 and ground. The capacitor is variable, and the capacitance is selected taking into account stray capacitance, Cstray, between the shield and other elements of the reactor. As described above, a high or low impedance may be provided by tuning the circuit to parallel resonance with Cstray for high impedance and to series resonance for low impedance.

[0050] Exemplary parameters for processing a semiconductor wafer in the reactor of FIG. 1 will now be described. Initially, the chamber is at a pressure of about 3-10 Torr. Any processed wafer remaining in the chamber from previous processing is removed and a new wafer is placed on support 104. A robot and load lock mechanism (not shown) such as that provided by the Aspen™ system available from Mattson Technology, Inc. are used to load and unload the semiconductor wafers. After the load lock door is closed, the chamber is evacuated to the desired process pressure and process gases are flowed through inlet 122 into plasma generation chamber 114. The wafer is allowed to heat up to the desired processing temperature and gas flows are stabilized during an initial period of from several to about 10 seconds.

[0051] Typically, the gas combinations employed for photoresist removal include: Oxygen, Carbon Tetrafluoride (or other fluorocarbon or partially fluorinated hydrocarbon) and Hydrogen gas (which may be provided in a dilute mixture in an inert gas such as Nitrogen or Argon) or Hydrogen containing gases such as C4H10 or CH3OH or H2O. The relative flows of the different gases range from hundreds of SCCM (standard cubic centimeters per minute) to ten thousand SCCM for Oxygen; from five SCCM to one thousand SCCM for fluorocarbon; and from ten SCCM to five thousand SCCM of Hydrogen diluted in inert gas. The total pressure of the gas ranges from a few hundred milliTorr to as much as several Torr.

[0052] In one exemplary embodiment the initial pressure is approximately 500-700 milliTorr and the flows are: Oxygen at about 1,500 SCCM, CF4 at about 90 SCCM, and Hydrogen 4% in Nitrogen at about 1,500 SCCM. The support 104 is kept at a temperature of less than 100 degrees Celsius, with about 85 degrees Celsius being typical. Alternate process parameters are described further below and in U.S. patent application Ser. No. 08/590,228, which is incorporated herein by reference.

[0053] Initially, switch 132 is open and the shield is ungrounded. After gas flows and temperatures are stabilized, RF power at 13.56 MHz is provided to induction coil 124 and the plasma is ignited in plasma generation chamber 114. The plasma produces charged particles and neutral species. The plasma generated by the induction electric field couples capacitively to the Faraday Shield through the insulating vessel and picks up some fraction of the RF electric current which has been coupled from coil 124 to the shield. This causes the electrical potential of the plasma to be modulated at the RF frequency of the current in the coil. The plasma then conducts the current which it has picked up to grounded surfaces, such as the walls of the processing chamber and support 104 which holds the semiconductor wafer. The conduction of the RF current to the wafer which rests on support 104 causes current to pass also through the wafer and sets up a plasma sheath above the wafer. This sheath serves to accelerate positively charged ions toward the photoresist crust on the wafer surface. The bombardment by these ions is an important factor in various etching processes, because it promotes the breaking of bonds for materials to be etched on the wafer surface.

[0054] The system is operated in this high energy mode for between about 1 to 5 minutes as necessary to etch away the hard photoresist crust, with 3 to 3 ½ minutes being typical. Empirical data for a given process may be used to determine the time necessary for sufficiently etching the crust. Alternatively an optical end point detection method may be used. The photon emissions from the surface of the wafer change as the crust is etched away. Therefore an optical sensor, such as an optical pyrometer, may be used to determine 10 how much of the crust has been removed. Typically, after the crust has been removed, the photoresist continues to be etched in the high energy mode for a period of about 30 seconds. This overetching ensures that all of the crust has been removed and also begins removing the soft underlying resist. In sensitive processes, the high energy mode may be stopped earlier to ensure that the photoresist is not prematurely etched away on some parts of the wafer leaving the bare wafer exposed to high energy ion bombardment.

[0055] When the ion bombardment phase of the process is concluded and remaining materials or residues are to be processed in a less aggressive manner, the shield switch 132 is closed which causes the shield to be grounded. This in turn removes the RF current from the shield, and prevents this current from conducting into the plasma. The lack of RF current conducting through the plasma causes the plasma to retract from the processing chamber back into the plasma generation chamber. This substantially reduces the conduction of current to the wafer and hence the sheath which accelerates the ions toward the surface of the wafer. Therefore, the energy of ions bombarding the wafer is significantly reduced and the system operates in a low energy mode. In addition, switch 110 may be opened to further reduce current flow to the wafer. This provides a less aggressive plasma process for removing photoresist from the wafer, because energetic ion bombardment is substantially eliminated. Reactive neutral species, on the other hand, continue to be produced and flow to the surface of the semiconductor surface. The neutral species readily react with and remove the soft photoresist without high energy ion bombardment.

[0056] During the low energy mode, the process gases may be changed slightly to reduce aggressive fluorine containing compounds and increase neutral oxygen species. For instance, the pressure may be increased to approximately 1 to 1.1 Torr which reduces ion density and the flows may be modified to about: Oxygen at about 3,000 SCCM, CF4 at about 60 SCCM, and Hydrogen 4% in Nitrogen at about 1,500 SCCM.

[0057] The system may be operated in the low energy mode until all of the soft underlying resist is removed. In addition, an overetch may be performed to ensure that all of the photoresist is removed. Even though the surface of the wafer is exposed during overetch, the potential for damage is reduced due to the low level of ion bombardment. In a typical process, the soft photoresist is removed in about a minute and about 30 seconds of overetch is performed.

[0058] After the photoresist has been removed, the RF power is turned off and the process gases are turned off. Nitrogen gas is flowed to purge the chamber and the pressure is raised to about 3-10 Torr. The processed wafer may then be removed and a new wafer may be placed in the chamber.

[0059] Additional exemplary processes will now be described with reference to FIGS. 3-5. FIGS. 3A and 3B illustrate process steps and parameters used for low temperature removal of photoresist after high-dose ion implant (hereinafter “HDIS process”). These processes are adapted to allow fast removal of photoresist with minimum oxide loss and without causing popping on the wafer. These goals are achieved by running at low temperature (lower than the baking temperature of about 160° C.) with different chemistries than typical conventional systems and by using variable mode plasma-enhanced processing.

[0060] FIG. 3A illustrates an HDIS process which provides a relatively fast process, but may result in some oxide loss. During step 1, a wafer with photoresist hardened by high-dose ion implant is placed in the processing chamber. The wafer also includes thin oxide layers which are not intended to be etched away by the process. Step 1 of the process has a short duration of only about 5 seconds and is used to stabilize conditions in the processing chamber. Initially, the pressure of the processing chamber is about 8 Torr. Gases are flowed at the following rates: oxygen (O2) at about 5,000 SCCM, forming gas of 4% hydrogen (H2) in Argon (Ar) at about 1,400 SCCM and CF4 at about 90 SCCM. The temperature of support 104 is maintained at about 85 degrees Celsius throughout the process. The shield is ungrounded and switch 132 is open. RF power is not applied during this step.

[0061] During step 2, the pressure is reduced to about 1.1 Torr and gases are flowed at the following rates: oxygen (O2) at about 1,500 SCCM, forming gas of 4% hydrogen (H2) in Argon (Ar) at about 1,400 SCCM and CF4 at about 90 SCCM. The shield remains ungrounded After a delay of about 10 seconds after the start of step 2, RF power is applied to induction coil 124 at a frequency of 13.56 MHz and a power level of about 800 Watts. During this step, an energetic plasma is ignited and the hardened photoresist crust is etched by ion bombardment. The plasma extends into the processing chamber and forms a sheath at the wafer surface. Step 2 has an overall duration of about 85 seconds.

[0062] During step 3, the pressure is reduced to about 0.6 Torr and gases are flowed at the following rates: oxygen (O2) at about 1,500 SCCM, forming gas of 4% hydrogen (H2) in Argon (Ar) at about 1,400 SCCM and CF4 at about 90 SCCM. Switch 132 is closed and the shield is grounded. At the same time, RF power is increased to a power level of about 1,100 Watts. During this step, the plasma retracts into the plasma generation chamber, which reduces energetic ion bombardment even though pressure is reduced and power is increased. The reduced pressure and increased power cause the plasma to produce abundant dissociated neutral species which diffuse over the wafer surface, while the shield reduces capacitive coupling and ion bombardment. Step 3 has an overall duration of about 75 seconds.

[0063] During step 4, the RF power is turned off and pressure is raised to about 8 Torr. Oxygen is (O2) is flowed at about 5,000 SCCM. The other gases are turned off. Step 4 has a duration of about 5 seconds, after which the processed wafer is removed. The overall process has a duration of about 170 seconds. This results in a throughput of about 15 wafers per hour in a single wafer reactor and about 35 wafers per hour in a dual wafer reactor.

[0064] FIG. 3B illustrates an HDIS process which is slightly slower than the process described above, but results in almost no oxide loss. During step 1, a wafer with photoresist hardened by high-dose ion implant is placed in the processing chamber. The wafer also includes thin oxide layers which are not intended to be etched away by the process. Step 1 of the process has a short duration of only about 5 seconds and is used to stabilize conditions in the processing chamber. Initially, the pressure of the processing chamber is about 8 Torr. Gases are flowed at the following rates: oxygen (O2) at about 2,300 SCCM, forming gas of 4% hydrogen (H2) in Nitrogen (N2) at about 600 SCCM and CF4 at about 90 SCCM. The temperature of support 104 is maintained at about 85 degrees Celsius throughout the process. The shield is ungrounded and switch 132 is open. RF power is not applied during this step.

[0065] During step 2, the pressure is reduced to about 1.1 Torr and gases are flowed at the following rates: oxygen (O2) at about 2,300 SCCM, forming gas of 4% hydrogen (H2) in Nitrogen (N2) at about 600 SCCM and CF4 at about 90 SCCM. The shield remains ungrounded. After a delay of about 10 seconds, RF power is applied to induction coil 124 at a frequency of 13.56 MHz and a power level of about 1,000 Watts. During this step, an energetic plasma is ignited and the hardened photoresist crust is etched by ion bombardment. The plasma extends into the processing chamber and forms a sheath at the wafer surface. Step 2 has an overall duration of about 115 seconds.

[0066] During step 3, the pressure is reduced to about 0.6 Torr and gases are flowed at the following rates: oxygen (O2) at about 2,300 SCCM, forming gas of 4% hydrogen (II2) in Nitrogen (N2) at about 600 SCCM and CF4 at about 90 SCCM. Switch 132 is closed and the shield is grounded. At the same time, RF power is increased to a power level of about 1,200 Watts. During this step, the plasma retracts into the plasma generation chamber, which reduces energetic ion bombardment even though pressure is reduced and power is increased. The reduced pressure and increased power cause the plasma to produce abundant dissociated neutral species which diff-use over the wafer surface, while the shield reduces capacitive coupling and ion bombardment. Step 3 has an overall duration of about 75 seconds.

[0067] During step 4, the RF power is turned off and pressure is raised to about 8 Torr. Oxygen (O2) is flowed at about 5,000 SCCM. The other gases are turned off. Step 4 has a duration of about 5 seconds, after which the processed wafer is removed. The overall process has a duration of about 200 seconds. This results in a throughput of about 12 wafers per hour in a single wafer reactor and about 30 wafers per hour in a dual wafer reactor.

[0068] FIG. 4 illustrates process steps and parameters used for post metal etch polymer removal. This process is adapted for removing sidewall polymer that remains after metal etch and dry strip of photoresist. Metal etch processes are anisotropic due to the generation of sidewall material (referred to as polymer). This material is composed of Carbon, Chlorine, Aluminum, and may also contain Boron oxides and chlorides from the BC13 etch gas additive. Typical integrated ash processes are developed with the goal of eliminating corrosion of the aluminum lines due to the presence of chlorine in the polymer. These processes have good corrosion resistance yet poor polymer removal. The process described below removes the polymer and eliminates the need for organic solvents or acidic treatments which are commonly used for the same purpose. By going to an all-dry process with only a de-ionized water rinse, significant cost savings can be realized.

[0069] During step 1, a wafer is placed in the processing chamber. Step 1 of the process has a short duration of only about 6 seconds and is used to stabilize conditions in the processing chamber. Initially, the pressure of the processing chamber is about 1.1 Torr. Gases are flowed at the following rates: forming gas of 4% hydrogen (H2) in Nitrogen (N2) at about 500 SCCM and CF4 at about 300 SCCM. It should be noted that oxygen gas is not flowed to the chamber during step 1. TiN or Ti barrier and ARC layers are susceptible to attack in the presence of fluorine and oxygen, if exposure applies in the first step. The temperature of support 104 is maintained at about 75 degrees Celsius throughout the process. The etch induced polymer material apparently oxidizes at higher temperatures (e.g., above 100° C.), rendering the polymer more difficult to remove. The shield is ungrounded and switch 132 is open. After a delay of about 4 seconds RF power is applied at a frequency of 13.56 MHz and a power level of about 850 Watts. During this step, an energetic plasma is ignited and established. The plasma extends into the processing chamber and forms a sheath at the wafer surface.

[0070] During step 2, the pressure is increased to about 2.5 Torr and gases are flowed at the following rates: forming gas of 4% hydrogen (H2) in Nitrogen (N2) at about 1,900 SCCM and CF4 at about 300 SCCM. The shield remains ungrounded. RF power is lowered to about 650 Watts. During this step, polymer is etched by ion bombardment. Step 2 has an overall duration of about 20 seconds.

[0071] During step 3, the pressure is reduced to about 0.6 Torr and gases are flowed at the following rates: oxygen (O2) at about 3,000 SCCM, and CF4 at about 150 SCCM. Switch 132 is closed and the shield is grounded. At the same time, RF power is increased to a power level of about 1,150 Watts. During this step, the plasma retracts into the plasma generation chamber, which reduces energetic ion bombardment even though pressure is reduced and power is increased. The reduced pressure and increased power cause the plasma to produce abundant dissociated neutral species which diffuse over the wafer surface for bulk resist strip, while the shield reduces capacitive coupling and ion bombardment. Step 3 has an overall duration of about 46 seconds.

[0072] During step 4, the pressure is raised to about 2.5 Torr and gases are flowed at the following rates: forming gas of 4% hydrogen (H2) in nitrogen (N2) at about 1,900 SCCM and CF4 at about 300 SCCM. The shield is ungrounded. At the same time, RF power is decreased to a power level of about 650 Watts. During this step, residue is removed by ion bombardment. The plasma extends into the processing chamber and forms a sheath at the wafer surface. Step 4 has an overall duration of 30 seconds.

[0073] During step 5, pressure is decreased to about 1 .1 Torr. Oxygen is (O2) is flowed at about 3,500 SCCM. The other gases are turned off and the shield is ungrounded. Step 5 has a duration of about 5 seconds, after which the processed wafer is removed. The overall process has a duration of about 107 seconds. This results in a throughput of about 25 wafers per hour in a single wafer reactor and about 50 wafers per hour in a dual wafer reactor.

[0074] FIG. 5 illustrates process steps and parameters used for via veil removal. This process is adapted for removing tough via veils that are generated from oxide etch processes. The purpose of the process is to open a conductive pathway to the Aluminum underlayer. This etch process is designed to remove only the oxide material under the opened mask layer. In order to provide anisotropy during the etch, polymerizing process chemistries are used in conjunction with sufficient ion bombardment to passivate the etched sidewall. Once this oxide layer is opened and cleaned, subsequent steps deposit metal contacts which allow vertical integration of devices. In this way lateral space is conserved, allowing for more densely-packed transistor layers and metal interconnect layers. Unlike typical conventional processes, the process described below removes both the bulk photoresist masking layer and the via sidewall polymer material without the use of solvents or aggressive acidic solutions. This provides significant cost savings.

[0075] In addition, the process described below is carried out at relatively low temperatures of from about 25 to 75 degrees Celsius. TiN or Ti ARC layers are susceptible to attack at higher temperatures of 250° C., but not in the 25 to 75° C. temperature range used in the current process. SOG layer attack is also seen at higher temperatures, resulting in profile distortion of the via. In addition, the etch induced polymer material oxidizes at higher temperatures rendering the polymer more difficult to remove. If the polymer film contains aluminum due to exposure of aluminum layers during the etch process, it can be easily oxidized to Al2O3 rendering the film even more difficult to remove. The process described below, on the other hand, is carried out at low temperatures and is capable of yielding clean vias with only a de-ionized water rinse.

[0076] During step 1, a wafer is placed in the processing chamber. Step I of the process has a short duration of only about 5 seconds and is used to stabilize conditions in the processing chamber. Initially, the pressure of the processing chamber is about 8 Torr. Gases are flowed at the following rates: Oxygen (O2) at about 5,000 SCCM. The temperature of support 104 is maintained at about 25 to 70 degrees Celsius throughout the process with 25 degrees Celsius being typical. The shield is ungrounded and switch 132 is open. RF power is not applied during this step.

[0077] During step 2, the pressure is maintained at about 0.7 Torr and gases are flowed at the following rates: oxygen (O2) at between 1,000 to 4,000 SCCM with 3,000 SCCM being typical, fluorine containing gases (CF4 or NF3) from 1% to 3%, with 30 SCCM of NF3 being typical. The shield remains ungrounded. After a delay of about 10 seconds, RF power is applied to induction coil 124 at a frequency of 13.56 MHz and a power level of between 700 to 1,000 Watts with 800 Watts being typical. During this step, polymer is etched by ion bombardment. The plasma extends into the processing chamber and forms a sheath at the wafer surface. Step 2 has a duration of about 75 seconds.

[0078] During step 3, the RF power is turned off and pressure is raised to 8 Torr, Oxygen (O2) is flowed at 5,000 SCCM. The other gas is turned off. Step 3 has a duration of about 5 seconds after which the processed wafer is removed. The overall process has a duration of about 90 seconds. This results in a throughout of about 60 wafers per hour in a single wafer reactor and about 100 wafers per hour in a dual wafer reactor.

[0079] The above described embodiments are exemplary only. It is understood that any variety of plasma reactors and process parameters may be used in conjunction with aspects of the present invention. For instance, high and low energy modes could be provided in two separate chambers and a semiconductor wafer could be moved between the high energy chamber and the low energy chamber for different process steps. A reactor with an energetic plasma, such as a capacitive diode reactor or an unshielded or partially shielded inductively coupled reactor, could be used to remove hardened layers of material. A reactor with a lower energy plasma isolated from the semiconductor wafer surface, such as a shielded inductively coupled reactor with a charged particle filter (see U.S. patent application Ser. No. 08/340,696), could be used to remove sensitive layers. The wafer support in the second chamber could be hotter than in the first chamber, because problems with photoresist popping are reduced after the crust is removed (see U.S. patent application Ser. No. 08/590,228).

[0080] In addition, other mechanisms for varying capacitive shielding and plasma modulation may be used. For instance, a shield may be moved radially away from the reactor chamber to increase the size of the slots and decrease the level of shielding. In addition the shield may be raised or lowered to remove all or part of the shielding. The width of the slots in the shield may also be increased or decreased by rotating an inner shield to overlap a portion of the slots. A sliding door or other mechanism may also be used. An RF bias may also be selectively applied to the wafer support at varying power levels and frequencies to vary capacitive coupling and the plasma sheath above the wafer surface. In addition, the shield may be selectively coupled to an RF bias to induce capacitive coupling.

[0081] Capacitive coupling and/or modulation of the plasma potential may also be modified in some embodiments without the use of variable capacitive shielding. FIGS. 6A and 6B illustrate an alternate embodiment with a movable inductor for varying capacitive coupling. FIG. 6A shows a reactor 600 with a plasma generation chamber 610 having a nonconductive wall 608. A wafer 604 is placed on a support 606 for processing. Gas is provided through inlet 612. RF power is applied to inductor 602 to couple power into the chamber both inductively and capacitively.

[0082] FIG. 6B shows an alternate configuration of reactor 600 for a processing mode with reduced capacitive coupling. Inductor 602 is raised above chamber 610 which reduces capacitive coupling. Inductive fields extending radially below inductor 602 sustain the plasma in chamber 610. The power applied to inductor 602 may be increased to sustain the plasma. Nevertheless, capacitive coupling is reduced thereby providing an alternate mode of processing.

[0083] Aspects of the present invention may also be used for other plasma-enhanced processes such as low temperature de-scum and post passivation-etch photoresist stripping, although the process gases, pressures, power and temperatures may differ. For instance, for certain processes, pulsed power may be applied to the induction coil and/or wafer support. See U.S. patent application Ser. No. 08/727,209 entitled “Apparatus and Method for Pulsed Plasma Processing of a Semiconductor Substrate” filed Oct. 8, 1996, assigned to the assignee of the present application and incorporated herein by reference in its entirety.

[0084] While this invention has been described and illustrated with reference to particular embodiments, it will be readily apparent to those skilled in the art that the scope of the present invention is not limited to the disclosed embodiments but, on the contrary, is intended to cover numerous other modifications and equivalent arrangements which are included within the spirit and scope of the following claims.

Claims

1. A reactor system for assisting the removal of residue from a wafer, the reactor system comprising:

a reactor chamber within which a plasma is generated to produce plasma products for assisting the removal of residue from the wafer wherein the plasma products include charged particles and neutral species;
a gas inlet through which gas is provided to the reactor chamber;
means for generating the plasma, the means for generating the plasma being adjacent to the plasma;
a support for the wafer positioned inside the chamber such that the wafer on the support is exposed to at least one plasma product during processing wherein a soluble compound is formed on the wafer from the chemical interaction of the plasma with the residue on the wafer.

2. The system of claim 1, wherein the means for generating the plasma includes a microwave power source.

3. The system of claim 1, wherein the residue includes aluminum and wherein the soluble compound formed on the wafer includes aluminum.

4. The system of claim 1, comprising means for controlling the plasma potential relative to the support such that the wafer is processed in at least two modes, a first mode and a second mode.

5. The system of claim 4, wherein the gas includes no more than 2% oxygen.

6. The system of claim 4, wherein the plasma potential relative to the support in the first mode induces bombardment of the wafer by charged particles from the plasma at a desired level for processing during the first mode;

wherein the plasma potential relative to the support in the second mode is controlled to substantially reduce bombardment of the wafer by charged particles during the second mode; and
wherein the wafer is exposed to neutral species from the plasma at a desired level for processing during the second mode.

7. A plasma reactor for processing a semiconductor wafer comprising:

a reactor chamber within which a plasma is generated to produce plasma products for processing the semiconductor wafer wherein the plasma products include charged particles and neutral species;
a gas inlet through which gas is provided to the reactor chamber;
an electrode adjacent to the plasma;
a power source operatively coupled to the electrode to provide power to the plasma;
a support for the semiconductor wafer positioned such that the wafer is exposed to at least one plasma product during processing;
means for controlling the plasma potential relative to the support such that the semiconductor wafer is processed in at least two modes, a first mode and a second mode;
wherein the plasma potential relative to the support in the first mode induces bombardment of the semiconductor wafer by charged particles from the plasma at a desired level for processing during the first mode;
wherein the plasma potential relative to the support in the second mode is controlled to substantially reduce bombardment of the semiconductor wafer by charged particles during the second mode; and
wherein the semiconductor wafer is exposed to neutral species from the plasma at a desired level for processing during the second mode.

8. The reactor of claim 7, wherein the gas includes a hydrogen containing gas, an oxygen containing gas, and a halogen containing gas.

9. The reactor of claim 8, wherein the halogen containing gas includes fluorine.

10. The reactor of claim 8, wherein the hydrogen containing gas includes a mixture of molecular nitrogen with molecular hydrogen containing about 4% molecular hydrogen.

11. The reactor of claim 8, wherein the gas is provided to the reactor chamber at a flow rate of 100 SCCM to 10,000 SCCM for the oxygen containing gas, 5 SCCM to 1,000 SCCM for the halogen containing gas, and 10 SCCM to 5,000 SCCM of the hydrogen containing gas.

12. The reactor of claim 8, wherein the means for controlling the plasma potential includes a slotted conducting structure surrounding the plasma.

13. The reactor of claim 12, wherein the slotted conducting structure is electrically coupled to ground in the second mode.

14. A method for processing a semiconductor wafer comprising:

generating a plasma to produce charged particles and neutral species;
inducing bombardment of the semiconductor wafer by charged particles from the plasma at a first level for a first mode of processing;
limiting bombardment of the semiconductor wafer to a level substantially less than the first level for a second mode of processing; and
exposing the semiconductor wafer to neutral species from the plasma during the second mode at a desired level for processing;
wherein the semiconductor wafer is selectively processed using both the first and the second modes of processing.

15. The method of claim 14, wherein the plasma includes a halogen, oxygen, and hydrogen.

16. The method of claim 15, wherein the halogen includes fluorine.

17. The method of claim 14, wherein generating the plasma includes flowing gas at a flow rate of 100 SCCM to 10,000 SCCM for an oxygen containing gas, 5 SCCM to 1,000 SCCM for a halogen containing gas, and 10 SCCM to 5,000 SCCM for a hydrogen containing gas.

18. The method of claim 15, wherein inducing bombardment of the semiconductor wafer by charged particles from the plasma at a first level includes surrounding the plasma with a slotted conducting structure.

19. The method of claim 18, wherein limiting bombardment of the semiconductor wafer to a level substantially less than the first level includes electrically coupling the slotted conducting structure to ground.

20. The method of claim 14, wherein inducing bombardment of the semiconductor wafer by charged particles from the plasma at a first level is performed for a period of 1 to 5 minutes.

21. The method of claim 14, comprising maintaining the semiconductor wafer at a temperature below about 100 degrees Celsius.

Patent History
Publication number: 20020005392
Type: Application
Filed: Nov 16, 1998
Publication Date: Jan 17, 2002
Inventors: LEROY LUO (FREMONT, CA), RENE GEORGE (SAN JOSE, CA), STEPHEN E. SAVAS (ALAMEDA, CA), CRAIG RANFT (FREMONT, CA), WOLFGANG HELLE (MUNICH), ROBERT GUERRA (FREMONT, CA)
Application Number: 09192810
Classifications
Current U.S. Class: Using Plasma (216/67); 156/345; With Vacuum Or Fluid Pressure Chamber (118/50)
International Classification: B44C001/22;