Composition and method for removing photoresist and/or resist residue using supercritical fluids

A method of removing photoresist and/or resist residue from a substrate includes exposing the substrate to a supercritical fluid in combination with a co-solvent mixture comprising an organic solvent and an oxidizer. In one embodiment, the supercritical fluid is supercritical carbon dioxide and the co-solvent mixture includes 1,2-Butylene Carbonate, Dimethyl Sulfoxide and hydrogen peroxide. If desired, supercritical carbon dioxide in combination with a second co-solvent mixture may be subsequently applied to the substrate to rinse and dry the substrate. In one embodiment, the second co-solvent mixture includes isopropyl alcohol.

Skip to: Description  ·  Claims  · Patent History  ·  Patent History
Description
FIELD OF THE INVENTION

[0001] The present invention relates to supercritical fluids and, in particularly, to compositions and methods using supercritical fluids to remove photoresist and/or resist residues and associated materials from semiconductor substrates.

BACKGROUND OF THE DISCLOSURE

[0002] During the process of fabricating semiconductor integrated circuits, organic photoresist material may be applied to a semiconductor substrate as a precursor to formation of features on the substrate using photolithography techniques. Often additional coatings, for example an anti-reflective coating known in the industry as BARC [Back Antireflective Coating], are also applied to the substrate to enhance the lithography process.

[0003] Once lithography is completed, the resist, BARC and other coatings used for the lithography steps must be removed from the substrate. A common technique for photoresist removal involves placing the substrate in an asher and burning the resist and associated coatings using a gaseous plasma. While the high temperature in the plasma process chamber oxidizes the photoresist and removes it, the plasma etch process leaves post-ash residues—undesirable byproducts from the reaction of the plasma gases, reactant species and the photoresist. These by-products are generally referred to as “sidewall polymer,” “via veil,” “goat horns,” etc. and cannot be completely removed by the etch process. Thus, the substrate must be subsequently placed in a wet cleaning tool to remove byproducts of the plasma etch process, and then rinsed and dried.

[0004] Moreover, the plasma etch procedure for resist removal is less desirable for substrates having low dielectric constant (or “low-k”) films as insulating layers. These insulating layers, such as SiO2 with carbon, are porous and are thus more likely to absorb etch gases which can later out-gas and attack metal contacts formed into the substrate (e.g., dual damascene copper).

[0005] Another currently used photoresist removal process includes exposing the substrate to a liquid photoresist stripper containing at least one polar solvent. At times, however, the byproducts of the stripping process and the stripping solution itself may be left behind in fine features formed in the substrate. Therefore, additional steps of rinsing out the stripper and stripper residues and drying the wafer must follow the wet stripping process.

[0006] In either method, at least two steps are needed for photoresist and resist residue removal and separate steps are needed to rinse and dry the wafer. It is highly desirable to expedite and thereby reduce the cost of the resist removal process by eliminating the need for follow-on cleaning and/or drying steps. It would be desirable to carry out the resist and/or resist residue removal and drying of the wafer in one step at low temperature.

[0007] Removing resist and/or resist residue, and drying of the wafer in one step at low temperature is possible using the compositions and methods disclosed herein for supercritical processing. Supercritical conditions are created by a combination of pressure and temperature of the environment above which a substance enters its supercritical phase. In a supercritical state, the substance has properties both of a liquid and a gas, i.e., the liquid and gaseous states of matter exist together as a single phase. FIG. 1 shows the conditions needed to achieve supercritical conditions for carbon dioxide. Carbon dioxide has a critical temperature of 31° C. and a critical pressure of 72.8 atm. Thus, when CO2 is subjected to temperature and pressure above these critical conditions, it is in the supercritical state. A substance that is in the supercritical state is known in the art as a “supercritical fluid.”

[0008] Supercritical fluids are desirable in the context of integrated circuit fabrication for a variety of reasons. For example, supercritical fluids have very low surface tension, which enables them to achieve better effective contact with surfaces and better penetration into high aspect vias and boundary layer films than substances in the liquid state. The low viscosity of supercritical fluids allows for relative fast mass transfer.

[0009] The industry trend is towards shrinking semiconductor device structure geometries and other structure geometries into the submicron range such as below 0.25 micron. Nevertheless, the industry lacks a first-rate method of removing photoresist and/or resist residue from high aspect ratio openings such as submicron grooves, narrow crevices etc. without damaging the structure being produced. Supercritical fluids are suitable for this purpose because they can readily penetrate these high aspect ratio openings and effectively remove resist and/or resist residues from them. In addition, the supercritical fluid and/or co-solvent composition can be exactly tailored to selectively attack only the resist and/or residue without attacking the semiconductor device structures. Moreover, it has been found that using supercritical fluids for resist/residue removal can eliminate process steps thereby increasing yield at a lower cost.

[0010] More specifically, resist and/or resist residue removal and drying of the wafer in one step is possible by using supercritical fluids in integrated circuit fabrication, providing a distinct advantage over prior art methods requiring follow-on cleaning and/or drying steps. This not only speeds up the wafer processing but also results in a decreased consumption of solvents and/or water used in cleaning, rinsing and drying. While this decreases the chemical usage and disposal costs, corrosion of the IC structure/stack is also reduced because of the small amounts of co-solvent used in a controlled manner compared to the wafer being immersed in a large bath for an extended period of time and then subjected to further rinsing to remove the solvent. These environmental benefits make supercritical cleaning of semiconductor wafer substrates a desirable “green” process.

[0011] Supercritical CO2 (“scCO2”) is a supercritical substance suitable for integrated circuit fabrication because its critical pressure and temperature are relatively easy to achieve, and thus do not require high equipment and operating costs. It is non-toxic and non-flammable, it is inert to inorganic materials found on wafers, and it is not an ozone layer depleting chemical. High purity grades of CO2 can be readily obtained and are inexpensive.

[0012] Until now, however, the use of scCO2 in photoresist removal processes has not been successfully achieved. This lack of success is due to the fact that scCO2 itself is a very poor solvent for polar residues such as resist and/or resist residues found on wafer surfaces. Therefore, polar solvents (which are necessary for the photoresist removal process) have limited solubility in scCO2. Moreover, the polar solvents and the scCO2 have vast differences in their densities, a condition which prevents the substances from mixing evenly to a degree that would allow uniform resist removal once the mixture was placed in contact with a substrate. Nevertheless, some attempts have been made to perform processes using supercritical fluids, including scCO2, to remove photoresist and resist residues. Many of these processes are not cost effective for commercial use in that they require extended processing durations overly high energy costs, or use of prohibitively large quantities of process chemicals. Others expose substrates to temperatures and/or pressures and/or chemical environments that can degrade the electrical performance of the integrated circuits manufactured using the substrates. Others may even result in damage to the process equipment, such as amine stress corrosion cracking of the pressure vessel which can occur when amines are used in the presence of supercritical CO2. Still others are simply ineffective at removing photoresist and/or resist residue.

[0013] As set forth in detail below, the present inventor has developed compositions and methods which overcome these problems and which allow for successful removal of photoresist and/or resist residue using scCO2.

SUMMARY

[0014] A method of removing photoresist and/or resist residue from a substrate includes exposing the substrate to a supercritical fluid in combination with a co-solvent mixture comprising an organic solvent and an oxidizer. In one embodiment, the supercritical fluid is supercritical carbon dioxide and the co-solvent mixture includes 1,2-Butylene Carbonate, Dimethyl Sulfoxide and Hydrogen Peroxide. If desired, supercritical carbon dioxide in combination with a second co-solvent mixture may be subsequently applied to the substrate to rinse and dry the substrate. In one embodiment, the second co-solvent mixture includes isopropyl alcohol.

BRIEF DESCRIPTION OF THE DRAWINGS

[0015] FIG. 1 is a phase diagram illustrating the supercritical phase of carbon dioxide.

[0016] FIG. 2 is a simplified schematic representation of a pressure chamber of a type which may be used in connection with the composition and method described herein.

DETAILED DESCRIPTION

[0017] Disclosed herein are compositions and methods for removing photoresist, and/or residues remaining after photoresist removal, from substrates using supercritical fluids. It is readily apparent to one skilled in the art that while the present invention is described in terms of removing photoresist and/or the resist residue, it is equally applicable to removing the photoresist and the residue, or removing the photoresist only, or to removing the residue only. For simplicity, the term “stripping” may also be used to describe photoresist removal, and “cleaning” may be used to describe removal of resist residue.

[0018] In one embodiment, a composition for removing photoresist and/or resist residue includes a supercritical fluid such as supercritical CO2 in combination with one or more co-solvents and a method includes exposing one or more substrates to the supercritical CO2 and co-solvent(s). The supercritical fluid carries the co-solvent(s) into contact with the substrate and into high aspect vias, allowing the co-solvent(s) to strip the photoresist/residue. In another embodiment, a subsequent processing step may be carried out in which a supercritical fluid carries a second co-solvent into contact with a substrate and its high-aspect vias, removing the first co-solvent and any by-products and rinsing and drying the substrate.

[0019] If desired, the compositions and methods described herein may be used without pressure cycling the system during the photoresist/residue removal process and/or using amine-free, non-toxic co-solvent mixtures. In one embodiment, photoresist and/or photoresist residue are removed from a substrate in which features are etched into a low dielectric constant material.

[0020] A preferred supercritical fluid used in the composition/method is supercritical CO2, although it should be appreciated that other components in supercritical form may be used alone or in combination with each other or with supercritical CO2. Such components may include, but are not limited to supercritical forms of the following: Ar, He, CH4, C2H6, n-C3H8, C2H4, CHF3, N2, N2O, and the like. Throughout this discussion, the term “supercritical component” may be used to describe the supercritical substance before it has been brought to its supercritical state.

[0021] Supercritical CO2 is preferred because it is easily and cheaply available in high purity grades and because its supercritical conditions are achieved at moderate temperatures and pressures. In addition, the zero dipole moment of CO2 ensures that it is a poor solvent for polar substances until substantially higher operating pressures (more than 4 times its critical pressure) are used. At those high pressures, the solvating ability of the scCO2 alone is so high that it will begin dissolving parts of the semiconductor device structure along with the resist and/or resist residue and loses its selective cleaning ability.

[0022] In the disclosed methods and compositions for resist stripping and/or resist residue removal cleaning is accomplished using a co-solvent mixture. This co-solvent mixture can be tailored to selectively attack only the resist and/or resist residue without damaging the sub-micron semiconductor device structures. The role of scCO2 is to act as a pressurizing medium so that the surface tension of the co-solvent mixture is decreased such that it can penetrate the high aspect vias in sub-micron semiconductor device structures. This leads to complete wetting of all surfaces by the scCO2 and a small amount of the co-solvent mixture to accomplish complete, uniform cleaning. The increased pressure of the scCO2 system also increases the reaction kinetics of the cosolvent mixture attack thereby accomplishing cleaning in a shorter time.

[0023] The co-solvent mixture preferably includes one or more organic solvent(s) for stripping the photoresist, and an oxidizer for attacking the photoresist and dissolving the cross-linked bonds in the photoresist. The oxidizer causes the co-solvent mixture to dissolve the photoresist and/or resist residue layer by layer rather than by undercutting it (as would occur with the co-solvent(s) alone). The supercritical fluid carries the cosolvent mixture into contact with the substrate and into high aspect vias, allowing the polar co-solvent(s) to strip the photoresist and allowing the oxidizer (if used) to attack the cross-linked bonds of the photoresist. The co-solvent(s) and oxidizers may be added to the supercritical component either before it is brought to its supercritical state, or after it has been brought to its supercritical state.

[0024] The organic solvent may be polar or non-polar, may be protic or aprotic, maybe cyclic, branched or straight chained, and may contain one or more functional groups. The organic solvent(s) could be from a wide variety of representative classes such as:

[0025] Alcohols (Diacetone Alcohol, Benzyl Alcohol and Furfuyrl Alcohol),

[0026] Amides (Formamide, Dimethyl Formamide, Acetamide and Dimethyl Acetamide)

[0027] Carbonates (Including alkylene carbonates such as Ethylene, Propylene or 1,2-Butylene Carbonate and mixtures thereof. Dialkyl carbonates of the formula R—CO3—R′ where R and R′ may or may not be the same group can also be used. Examples of dialkyl carbonates are dimethyl carbonate and diethyl carbonate. The dialkyl carbonates may be used singly or as mixtures of dimethyl- and diethyl-carbonates. Mixtures of alkylene and dialkyl carbonates may be also be used).

[0028] Chlorinated Hydrocarbons (Perchloroethylene, Trichloroethylene, 1,1,1 Trichloroethane)

[0029] Ester solvents (Dibasic Ester Mix or DBE available commercially from DuPont, N-Amyl Acetate)

[0030] Glycols (Ethylene, Propylene and Butylene Glycol, Methyl Propanediol and Triethylene Glycol)

[0031] Glycol Ethers (Diethylene Glycol Butyl Ether, Dipropylene Glycol Methyl Ether, Propylene Glycol Methyl Ether, Propylene Glycol n-Butyl Ether and Dipropylene Glycol n-Butyl ether)

[0032] Ketones (Acetyl Acetone, Methyl Ethyl Ketone and Methyl Isoamyl Ketone)

[0033] Lactams (piperidones such as N-Methyl Piperidone, N-Ethyl Piperidone, Dimethyl Piperidone, Diethyl Piperidone, Dimethoxy Piperidone, Diethoxy Piperidone and cyclohexyl analogues of these piperidones such as N-Methyl-2-Pyrrolidone, N-Ethyl-2-Pyrrolidone, N-(2-Hydroxyethyl)-2-Pyrrolidone, N-2(Cyclohexyl)-2-Pyrrolidone)

[0034] Sulfur based solvents (Dimethyl Sulfoxide).

[0035] The oxidizer is preferably selected from the group of: hydrogen peroxide (H2O2), benzoyl peroxide, urea peroxide, nitrogen trifluoride, ozone, oxygen, halogens, sulfur dioxide, and sulfur trioxide. Hydrogen peroxide having a concentration of 10-80%, and most preferably 10-50%, is particularly suitable for the process. Mixtures of peroxides and carbonates (alkylene or dialkyl) have been found to make a stable, single phase solution. Marquis et al. in U.S. Pat. Nos. 6,040,284 and 6,239,090 describe a number of single-phase solutions that are formed by mixing peroxides and carbonates in different ratios that are stable in composition. In addition, these solutions are non-flammable, of low volatility and free of carcinogenic chemicals. Normally concentrated solutions of hydrogen peroxide and water are handled carefully as the peroxide is a strong oxidizer and could pose a hazard. However, mixing hydrogen peroxide and carbonate causes the concentration of hydrogen peroxide to decrease (in the overall mix) thereby decreasing the hazardous nature of the final composition and no special precautions need to be taken to handle the composition.

[0036] The stability of the peroxide in the peroxide, water and carbonate mixture, at room and at temperatures up to 50° C., for long periods of time deserves special attention. This is in direct contrast with the usual peroxide solutions used in the semiconductor (and other) industries where peroxide concentration in aqueous solutions decreases with time, the peroxide decomposition being accelerated with increasing temperatures. A single-phase solution is maintained when one or more organic solvents are added to the peroxide, water and carbonate mixture, at room and at temperatures up to 50° C., for long periods of time. This ensures that the oxidative power of the co-solvent mix is retained for a long time and the efficacy of the mix to attack and dissolve cross-linked photoresists does not diminish with time. This is contrast to other photoresist stripper compositions in which the stripper is not stable in composition and requires additional steps of mixing the components just prior to use. In that case there is a finite shelf and/or bath life of the stripper and additional costs are involved in the disposal of unused stripper mix.

[0037] The co-solvent mixture may be blended with additional buffering agents (see Example 8), corrosion inhibitors, chelating agents, surfactants and the like or may directly be used to effect photoresist and/or photoresist residue removal in an scCO2 system.

[0038] For example, a first alternative embodiment adds an aqueous fluoride to the preferred first co-solvent 1 mixture. In the first alternative embodiment, the supercritical CO2, the solvent, the oxidizer and the aqueous fluoride remove the photoresist and/or resist residue generated in an etching or ashing step. Preferably, the aqueous fluoride is selected from the group of fluoride bases and fluoride acids. More preferably, the aqueous fluoride is selected from the group consisting of aqueous ammonium fluoride (NH4F) and aqueous hydrofluoric acid (HF).

[0039] Exposure of a substrate to the first co-solvent mixture may be followed by a subsequent process step in which a supercritical fluid carries a second co-solvent into contact with the substrate and into high aspect vias. In this subsequent step, the second co-solvent removes the co-solvent and any by-products, and rinses and dries the substrate. Preferably, the second co-solvent is selected from the group of monohydroxy alcohols such as Methanol, Ethanol, Propanol and Benzyl Alcohol, isomers of these alcohols and mixtures thereof. Alternatively, different mixtures of alcohol and water may also be used. The mixture of alcohol and water may use a single alcohol or blends of multiple alcohols added to water in different ratios.

[0040] In one preferred embodiment, the first co-solvent mixture (hereinafter the “co-solvent 1 mixture”) includes 1,2-Butylene Carbonate, Dimethyl Sulfoxide, and hydrogen peroxide, and the second co-solvent mixture (hereinafter “co-solvent 2 mixture”) includes isopropyl alcohol. As discussed, inclusion of carbonates in the mixture helps to maintain the stability of the co-solvent 1 mixture. Preferred carbonates are 1,2-Butylene Carbonate and Propylene Carbonate.

[0041] Systems for carrying out the described process may be configured in a variety of ways. One such system is schematically shown in FIG. 2. The system includes a pressure chamber 10 capable of withstanding temperatures and pressures at or above the critical temperature and pressure of the supercritical substance to be used in the process. The pressure chamber 10 functions as the process chamber in which the substrate(s) are cleaned.

[0042] A supply of co-solvent 1 mixture is housed in first reservoir 12, and co-solvent 2 mixture is housed in a second reservoir 14. A co-solvent pump 15 is positioned to pump co-solvent from first and/or second reservoirs 12,14 into a holding container 16, which is preferably heated by a heating tape 18. The temperature of the co-solvent in the holding container is measured by an internal RTD (resistive thermal device) probe 20. Carbon dioxide (or another substance which serves as the supercritical component in the process) is stored in reservoir 8. A pump 22 is provided for pumping the CO2 into the system, through a heater 24, and into the pressure chamber 10. The pressure chamber 10 includes a drain valve 30 that allows fluid to be exhausted from the chamber, and a pressure relief valve (not shown) that allows pressure within the chamber to be reduced. Valve 30 is fluidly coupled to a separator 32 that is vented to the atmosphere. The separator allows the co-solvents to be separated from the supercritical CO2 for potential re-use using a separation process such as, for example, fractional distillation. The pressure chamber 10 also includes a heating system and appropriate temperature sensors and controllers (not shown) that function to prevent “over temperature” conditions. One or more system controllers (not shown) having software programmed for the desired operations preferably control operation of the systems valves, pumps etc.

[0043] During use, co-solvent mixture is pumped into a holding container 16 and heated to a predetermined temperature by heating tape 18. A substrate 26, having photoresist and/or resist residue material that is to be removed is placed in pressure chamber 10 and the chamber is sealed. Next, the CO2 is pumped from reservoir 8 through heater 24 (so as to heat the CO2 to a predetermined temperature) and is into pressure chamber 10. When the desired chamber pressure is achieved, the system software closes a valve 28 and prevents the flow of additional CO2 into the system. From this time on, the chamber is preferably pressurized at the operating pressure. This operating pressure is preferably much greater than the critical pressure for CO2 (1070 psi) and is typically on the order of 1800 psi.

[0044] When the co-solvent 1 chemistry in the holding container 16 has reached the predetermined temperature, it is introduced into the process chamber 10 where it contacts the substrate. After the substrate has been exposed to the co-solvent 1 mixture for the desired amount of time, the co-solvent 1 mixture may be rinsed from the substrate surface by using pure supercritical fluid directed onto the substrate. This is accomplished by opening a valve 30 that connects the process chamber 10 to a separator 32. The separator is vented to atmosphere by opening valve 30 to subject the fluid inside the pressure chamber 10 to a pressure differential, causing the fluid to flow from the pressure chamber into the separator 32. Valve 28 is simultaneously opened by the software routine to let fresh scCO2 into the system such that the pressure inside the process chamber 10 is maintained.

[0045] After rinsing the process chamber 10 and substrate 26 in fresh scCO2 (for a duration of, for example, 15 seconds), co-solvent 2 is also introduced into the process chamber 10 via the holding container 16 from the co-solvent 2 reservoir 12. Alternate cycles of (1) rinsing the process chamber 10 and substrate 26 in pure scCO2 and (2) exposing the substrate to co-solvent 2 may be repeated to dry the wafer. During the entire duration of this rinsing phase, valve 30 is open to drain all the fluid contents of the process chamber 10 into the separator and valve 28 is open to let fresh scCO2 into the system to maintain the system pressure. After the desired number of rinsing cycles of scCO2 and co-solvent 2, valve 28 is closed and valve 30 is kept open to depressurize the chamber. After depressurization, a cleaned and dry photoresist and/or resist residue free substrate, 26, is removed from the process chamber 10.

[0046] Preferably, the pressure chamber is not de-pressurized between application of the co-solvent 1 mixture and application of the co-solvent 2 mixture. This allows the entire process to be performed as a single step, without pressure-cycling the system.

[0047] The substrate is supported within the pressure chamber in a manner that allows the front and/or front and back surfaces of the substrate to be exposed to fluids within the chamber. The pressure chamber may be configured to support a single substrate or multiple substrates.

[0048] The composition and methods described herein are highly beneficial in that they can achieve thorough stripping of photoresist materials (including I-Line, BARC, DUV, 193 nm) and their photoresist residue (also called “post-ash residue”) created in a plasma chamber. The substrates treated using the disclosed compositions and methods may have various features which include (but are not limited to) aluminum metal lines, high dielectric (“high k”) gate materials such as platinum, high aspect vias, and/or features etched into copper/low k dielectric substrate materials. It should be noted that the term “integrated circuit device” may be used herein to describe integrated circuit devices in various stages of completion. Moreover, although semiconductor substrates are primarily discussed herein, the composition and method may also be used for other types of substrates, such as liquid crystal displays.

[0049] The near zero surface tension of the supercritical fluid and reduced surface tension of the co-solvent mix allow penetration of the supercritical fluid and/or the cosolvent into high aspect ratio structures that are commonly found in integrated circuits. Without complete co-solvent penetration, residue removal from the bottom and the sidewalls of high aspect ratio structures is not possible. This process has been shown to work for removing blanket photoresist films that may have been hardbaked (e.g. to drive off the solvent and improve the adhesion of the photoresist material to the substrate surface and/or the barrier layer). Some of the hardbaked photoresist may be further cross-linked under high intensity UV lamps to achieve 100% cross-linking of the photoresist. A 100% cross-linked photoresist structure improves the intended performance of the photoresist but makes the photoresist very difficult to remove.

[0050] In addition, the disclosed compositions and methods are suitable for use on substrates (including the photoresist covering part of the substrates) that were implanted with Group III or Group V elements of the periodic table. This process is called doping and is intended to create surface layers, over certain select areas of the wafer, that have different conductivity from the bulk silicon substrate. Following the ion implantation step(s), the photoresist has a hard outer crust covering a jelly like core. The hard crust dissolves at a much slower rate than the underlying photoresist and therefore, implanted photoresists are considered some of the most challenging resists to remove. Typically, in the prior art, implant levels greater than 1×1014 atoms/cm2 are removed by a two-step process requiring plasma ashing in an O2 plasma followed by removal of residues created in the plasma process in a stripping bath. Using the disclosed compositions/methods of scCO2 cleaning, one can remove very high implant levels photoresist (5×1015 atoms/cm2) and come out with a dry, photoresist free wafer surface in a single step that is less harsh on the environment and the substrate itself than the multi-step processes currently used in the industry.

[0051] Following are examples that illustrate certain embodiments of practicing the present invention. It should be understood that these are intended as examples only, and are not intended to limit the scope of the claims. The examples were carried out using a test bed apparatus that differed from the apparatus of FIG. 2. Although a preferred apparatus would perform the disclosed method on an entire substrate or substrates, in each example the test bed apparatus performed each the described methods on a single-die cut from a substrate. For this reason, it should be noted that the quantities of substances used and the exposure times given will differ for when one or more complete substrates are being treated.

EXAMPLE 1

[0052] In a first example, a substrate having a hard baked I-line photoresist that was DUV stabilized using UV lamps to achieve 100% cross-linking was placed in the process chamber. A co-solvent 1 composition of 40% (by weight) 1,2-Butylene Carbonate, 30% Dimethyl Sulfoxide, and 30% of 30% hydrogen peroxide was mixed at a temperature of 55° C.

[0053] The 1,2-Butylene Carbonate was selected for its high solvency and the fact that it makes a single-phase solution with hydrogen peroxide. Propylene Carbonate may be substituted for the 1,2-Butylene Carbonate (and vise versa) in this and the following examples. The hydrogen peroxide was selected for its ability to attack the cross-linked bonds of the photoresist, and the dimethyl sulfoxide was selected for its ability to carry out photoresist stripping. This mixture was made to flow into the process chamber and onto-the substrate at a rate of 8 g/min for-approximately 90 seconds. Supercritical carbon dioxide was caused to flow into the chamber with the co-solvent 1 at a flow rate of 72 g/min to have a total fluid flow rate into the process chamber at 80 g/min. The temperature and pressure within the chamber were 110° C. and 165 bar, respectively. After 90 seconds, the flow of carbon dioxide into the chamber was suspended, and the flow rate of the co-solvent 1 was increased to 80 g/min for approximately 20 seconds.

[0054] Next, flow of co-solvent 1 was terminated and the back-pressure regulator was turned off, leaving the substrate in a static dwell of co-solvent and supercritical carbon dioxide at 165 bar and 110° C. to affect photoresist stripping. Although fluids may alternatively be made to flow through the chamber during the exposure period, a static dwell is preferable in that is minimizes chemical usage. The substrate was then allowed to dwell in the chamber for approximately 4 minutes and 40 seconds. After the dwell time, the back-pressure regulator was turned on, and supercritical carbon dioxide was allowed to flow onto the substrate to flush the first-co-solvent from the substrate for a period of 30 seconds.

[0055] Next, a second co-solvent consisting of isopropyl alcohol, at room temperature, was made to flow onto the substrate surface at a rate of 40 g/min, together with supercritical carbon dioxide which was also flowing into the chamber at 40 g/min, for a total fluid flow into the chamber of 80 g/min. This flow continued for approximately 90 seconds, after which the flow of the second co-solvent was terminated. Flow of supercritical carbon dioxide continued for an additional two minutes, after which the substrate was removed from the chamber. The substrate was found to be completely free of photoresist, and the substrate and the chamber were thoroughly dried.

EXAMPLE 2

[0056] In the second example, the co-solvent mix is unchanged but is introduced into the process chamber in higher amounts at the start of the run and the complete process is run without any static dwell in the process chamber. A substrate having a hard baked I-line photoresist that was DUV stabilized using UV lamps to achieve 100% cross-linking was placed in the process chamber. A co-solvent I composition of 40% (by weight) 1,2-Butylene Carbonate, 30% Dimethyl Sulfoxide, and 30% of 30% hydrogen peroxide was mixed at a temperature of 50° C. This mixture was made to flow into the process chamber and onto the substrate at a rate of 20 g/min for approximately 30 seconds. Supercritical carbon dioxide was caused to flow into the chamber with the co-solvent 1 at a flow rate of 60 g/min to have a total fluid flow rate into the process chamber at 80 g/min. Subsequently the co-solvent 1 flow rate was decreased to 2.4 g/min and the supercritical carbon dioxide flow rate increased to 77.6 g/min. for the next 3 minutes and 30 seconds. The operating temperature and pressure within the chamber were 110° C. and 165 bar, respectively.

[0057] Next, flow of co-solvent 1 was terminated and supercritical carbon dioxide, at a flow rate of 80 g/min., was allowed to flow onto the substrate to flush the first-co-solvent from the substrate for a period of 30 seconds.

[0058] Next, a second co-solvent consisting of isopropyl alcohol, at room temperature, was made to flow onto the substrate surface at a rate of 40 g/min, together with supercritical carbon dioxide which was also flowing into the chamber at 40 g/min. for a total fluid flow into the chamber of 80 g/min. This flow continued for approximately 90 seconds, after which the flow of the second co-solvent was terminated. Flow of supercritical carbon dioxide continued for an additional two minutes, after which the substrate was removed from the chamber. The substrate was found to be completely free of photoresist, and the substrate and the chamber were thoroughly dried.

EXAMPLE 3

[0059] The third example is similar to Example 2, but differs in that a different cosolvent 1 composition was used. A substrate having a hard baked I-line photoresist that was DUV stabilized using UV lamps to achieve 100% cross-linking was placed in the process chamber. A co-solvent 1 composition of 40% (by weight) 1,2-Butylene Carbonate, 40% Benzyl Alcohol, and 20% of 30% hydrogen peroxide was mixed at a temperature of 50° C. This mixture was made to flow into the process chamber and onto the substrate at a rate of 20 g/min for approximately 45 seconds. Supercritical carbon dioxide was caused to flow into the chamber with the co-solvent 1 at a flow rate of 60. g/min to have a total fluid flow rate into the process chamber at 80 g/min. Subsequently the co-solvent 1 flow rate was decreased to 2.4 g/min and the supercritical carbon dioxide flow rate-increased to 77.6 g/min. for the next 3 minutes and 15 seconds. The operating temperature and pressure within the chamber were 110° C. and 165 bar, respectively.

[0060] Next, flow of co-solvent 1 was terminated and supercritical carbon dioxide, at a flow rate of 80 g/min., was allowed to flow onto the substrate to flush the first-co-solvent from the substrate for a period of 30 seconds.

[0061] Next, a second co-solvent consisting of isopropyl alcohol, at room temperature, was made to flow onto the substrate surface at a rate of 40 g/min, together with supercritical carbon dioxide which was also flowing into the chamber at 40 g/min for a total fluid flow into the chamber of 80 g/min. This flow continued for approximately 90 seconds, after which the flow of the second co-solvent was terminated. Flow of supercritical carbon dioxide continued for an additional two minutes, after which the substrate was removed from the chamber. The substrate was found to be completely free of photoresist, and the substrate and the chamber were thoroughly dried.

EXAMPLE 4

[0062] The fourth example utilized the same co-solvent 1 composition as used in Example 2, but the composition was used on a substrate having different characteristics. In this example, the blanket photoresist layer removed was a 6000 Å thick DUV 5 photoresist layer on top of a polysilicon layer which covers a silicon dioxide layer on top of the silicon wafer substrate. The photoresist was subjected to a high dose implant of boron at 10 keV to a dosage level of 3×1015 atoms/cm2. A co-solvent 1 composition of 40% (by weight) 1,2-Butylene Carbonate, 30% Dimethyl Sulfoxide, and 30% of 30% hydrogen peroxide was mixed at a temperature of 50° C. This mixture was made to flow into the process chamber and onto the substrate at a rate of 8 g/min for 4 minutes. The co-solvent 1 mixture was carried into the process chamber by supercritical carbon dioxide at a flow rate of 72 g/min to have a total fluid flow rate into the process chamber at 80 g/min. The operating temperature and pressure within the chamber were 110° C. and 165 bar, respectively.

[0063] A 4-minute exposure of the photoresist film to the co-solvent 1 mixture was found to have completely dissolved the photoresist by visual observation (no edge exclusion was visible) and verified by ellipsometry.

[0064] Although the drying step was not performed, the result is expected to be the same as was achieved in Examples 1-3. The primary modification to Example 4 as compared with Example 2 was that the ion implant process created a level of organic contamination that traditionally has been more difficult to remove by liquid chemicals only.

EXAMPLE 5

[0065] The fifth example utilized the same co-solvent 1 composition as used in Example 2, but the composition was used on a substrate having different characteristics. The blanket photoresist layer removed was a 6000 Å thick DUV 5 photoresist layer on top of a polysilicon layer which covers a silicon dioxide layer on top of the silicon wafer substrate. The photoresist was subjected to a high dose implant of arsenic at 20 keV to a dosage level of 2×1015 atoms/cm2. A co-solvent 1 composition of 40% (by weight) 1,2-Butylene Carbonate, 30% Dimethyl Sulfoxide, and 30% of 30% hydrogen peroxide was mixed at a temperature of 50° C. This mixture was made to flow into the process chamber and onto the substrate at a rate of 8 g/min for 5 minutes. The co-solvent 1 mixture was carried into the process chamber by supercritical carbon dioxide at a flow rate of 72 g/min to have a total fluid flow rate into the process chamber at 80 g/min. The operating temperature and pressure within the chamber were 110° C. and 165 bar, respectively.

[0066] A 5-minute exposure of the photoresist film to the co-solvent 1 mixture was found to have completely dissolved the photoresist by visual observation (no edge exclusion was visible) and verified by ellipsometry.

[0067] Although the drying step was not performed, the result is expected to be the same as was achieved in Examples 1-3. The primary modification to Example 5 as compared with Example 2 was the presence of a level of organic contamination that traditionally has been more difficult to remove by liquid chemicals only.

EXAMPLE 6

[0068] The sixth example utilized the same co-solvent 1 composition as used in Example 2, but the composition was used on a substrate having different characteristics. The blanket photoresist layer removed was a 6000 Å thick DUV 5 photoresist layer on top of a polysilicon layer which covers a silicon dioxide layer on top of the silicon wafer substrate. The photoresist was subjected to a high dose implant of arsenic at 10 keV to a dosage level of 3×1015 atoms/cm2. A co-solvent 1 composition of 40% (by weight) 1,2-Butylene Carbonate, 30% Dimethyl Sulfoxide, and 30% of 30% hydrogen peroxide was mixed at a temperature of 50° C. This mixture was made to flow into the process chamber and onto the substrate at a rate of 8 g/min for 6 minutes. The co-solvent I mixture was carried into the process chamber by supercritical carbon dioxide at a flow rate of 72 g/min to have a total fluid flow rate into the process chamber at 80 g/min. The operating temperature and pressure within the chamber were 110° C. and 165 bar, respectively.

[0069] A 6-minute exposure of the photoresist film to the co-solvent 1 mixture was found to have completely dissolved the photoresist by visual observation (no edge exclusion was visible) and verified by ellipsometry.

[0070] Although the drying step was not performed, the result is expected to be the same as was achieved in Examples 1-3. The primary modification to Example 6 as compared with Example 2 was the presence of a level of organic contamination that traditionally has been more difficult to remove by liquid chemicals only.

EXAMPLE 7

[0071] The seventh example utilized the same co-solvent 1 composition as used in Example 2, but the composition was used on a substrate having different characteristics. The blanket photoresist layer removed was a 6000 Å thick DUV 5 photoresist layer on top of a polysilicon layer which covers a silicon dioxide layer on top of the silicon wafer substrate. The photoresist was subjected to a high dose implant of arsenic at 5 keV to a dosage level of 5×1015 atoms/cm2. A co-solvent 1 composition of 40% (by weight) 1,2-Butylene Carbonate, 30% Dimethyl Sulfoxide, and 30% of 30% hydrogen peroxide was mixed at a temperature of 50° C. This mixture was made to flow into the process chamber and onto the substrate at a rate of 8 g/min for 6 minutes. The co-solvent 1 mixture was carried into the process chamber by supercritical carbon dioxide at a flow rate of 72 g/min to have a total fluid flow rate into the process chamber at 80 g/min. The operating temperature and pressure within the chamber were 110° C. and 165 bar, respectively.

[0072] A 6-minute exposure of the photoresist film to the co-solvent 1 mixture was found to have completely dissolved the photoresist by visual observation (no edge exclusion was visible) and verified by ellipsometry.

[0073] Although the drying step was not performed, the result is expected to be the same as was achieved in Examples 1-3. The primary modification to Example 7 as compared with Example 2 was the presence of a level of organic contamination that traditionally has been more difficult to remove by liquid chemicals only.

EXAMPLE 8

[0074] The substrate used in the eighth example included a via structure which contained a low k dielectric layer. Prior to the experiment, photoresist was removed using an asher, leaving post-ash residues in the via structure. The specific chemistry employed was the following: 39.93% (by weight) 1,2-Butylene Carbonate, 39.93% Dimethyl Sulfoxide, and 29.94% of 30% hydrogen peroxide and 0.2% of 40% ammonium fluoride. This mixture was made to flow into the process chamber and onto the substrate at a rate of 8 g/min for 5 minutes. The co-solvent 1 mixture was carried into the process chamber by supercritical carbon dioxide at a flow rate of 72 g/min to have a total fluid flow rate into the process chamber at 80 g/min. The operating temperature and pressure within the chamber were 43° C. and 165 bar, respectively.

[0075] A 5-minute exposure of the post ash residues to the co-solvent 1 mixture was found to have completely dissolved and removed the post ash by SEM analysis. SEM photo of various die locations showed that complete residue removal was achieved with no attack of the semiconductor structure geometries.

[0076] Although the drying step was not performed, the result is expected to be the same as was achieved in Examples 1-3. The primary modification to Example 8 as compared with Example 2 was the type of organic contamination (post ash residue) that had to be removed.

[0077] All patents, patent applications, and publications disclosed herein are incorporated by reference in their entirety, as if individually incorporated. The foregoing detailed description and examples have been given for clarity of understanding only. No unnecessary limitations are to be understood therefrom. The invention is not limited to the exact details shown and described, for variations obvious to one skilled in the art will be included within the invention defined by the claims.

Claims

1. A method of removing photoresist and/or resist residue from a substrate, comprising the steps of:

(a) providing a substrate having photoresist material formed thereon;
(b) exposing the substrate to a supercritical fluid in combination with a co-solvent mixture comprising an organic solvent and an oxidizer.

2. The method of claim 1 wherein the supercritical fluid is supercritical carbon dioxide.

3. The method of claim 1 wherein the co-solvent mixture include an aqueous fluoride.

4. The method of claim 3 wherein the aqueous fluoride is selected from the group consisting of ammonium fluoride and hydrofluoric acid.

5. The method of claim 1 in which the organic solvent is selected from the group consisting of 1,2-Butylene Carbonate, Benzyl Alcohol, Ethylene and Propylene Carbonate and mixtures thereof, Dimethyl Sulfoxide, N-Methyl Pyrrolidone, Dimethyl Acetamide, Dimethyl Formamide, Propylene Glycol and Propylene Glycol n-Butyl Ether.

6. The method of claim 5, wherein the organic solvent includes 1,2-Butylene Carbonate.

7. The method of claim 5, wherein the organic solvent includes Dimethyl Sulfoxide.

8. The method of claim 5, wherein the organic solvent includes Benzyl Alcohol.

9. The method of claim 5, wherein the organic solvent includes Propylene Carbonate, 1,2-Butylene Carbonate and Dimethyl Sulfoxide.

10. The method of claim 5, wherein the organic solvent includes Propylene Carbonate.

11. The method of claim 5, wherein the organic solvent includes Propylene Carbonate and Dimethyl Sulfoxide.

12. The method of claim 5, wherein the organic solvent includes Propylene Carbonate and Benzyl Alcohol.

13. The method of claim 5, wherein the organic solvent includes 1,2-Butylene Carbonate and Dimethyl Sulfoxide.

14. The method of claim 5, wherein the organic solvent includes 1,2-Butylene Carbonate and Benzyl Alcohol.

15. The method of claim 1 wherein the oxidizer is selected from the group consisting of hydrogen peroxide, benzoyl peroxide, urea peroxide and mixtures thereof.

16. The method of claim 15 wherein the oxidizer is 10 to 80% hydrogen peroxide.

17. The method of claim 1 wherein the exposing step causes stripping of photoresist material from the substrate.

18. The method of claim 1 wherein the exposing step cleans resist residue from the substrate.

19. The method of claim 17, wherein the co-solvent mixture is a first co-solvent mixture and wherein the method further includes the step of, after step (b), exposing the substrate to a second mixture comprising a supercritical fluid in combination with isopropyl alcohol.

20. The method of claim 19 wherein the second co-solvent mixture includes supercritical fluid in combination with isopropyl alcohol and water.

21. The method of claim 19 wherein the step of exposing the substrate to the second co-solvent mixture removes the first co-solvent mixture from the substrate and dries the substrate.

22. The method of claim 1 wherein the substrate includes I-line photoresist and wherein the method is for removing the I-line photoresist.

23. The method of claim 1 wherein the substrate is a substrate previously exposed to ion implantation.

24. The method of claim 1 wherein the substrate includes aluminum lines formed thereon.

25. The method of claim 1 wherein the substrate includes at least one integrated circuit device including low-dielectric constant materials.

26. The method of claim 1 wherein the substrate includes at least one integrated circuit device having high dielectric constant gate materials.

27. The method of claim 1 wherein the substrate includes back anti-reflective coating and wherein the method removes the back anti-reflective coating from the substrate.

28. The method of claim 1 wherein the substrate includes deep UV photoresist and wherein the method removes the DUV photoresist from the substrate.

29. The method of claim 1 wherein the substrate includes post-ash residues, and wherein the method includes removing the post-ash residues from the substrate.

30. A composition for removing photoresist and/or resist residues from a substrate, the composition comprising:

a supercritical fluid in combination with a co-solvent mixture comprising an organic solvent and an oxidizer.

31. The composition of claim 30 wherein the supercritical fluid is supercritical carbon dioxide.

32. The composition of claim 30 wherein the co-solvent mixture include an aqueous fluoride.

33. The composition of claim 32 wherein the aqueous fluoride is selected from the group consisting of ammonium fluoride and hydrofluoric acid.

34. The composition of claim 30 in which the organic solvent is selected from the group consisting of 1,2-Butylene Carbonate, Benzyl Alcohol, Ethylene and Propylene Carbonate and mixtures thereof, Dimethyl Sulfoxide, N-Methyl Pyrrolidone, Dimethyl Acetamide, Dimethyl Formamide, Propylene Glycol and Propylene Glycol n-Butyl Ether.

35. The composition of claim 34, wherein the organic solvent includes 1,2-Butylene Carbonate.

36. The composition of claim 34, wherein the organic solvent includes Dimethyl Sulfoxide.

37. The composition of claim 34, wherein the organic solvent includes Benzyl Alcohol.

38. The composition of claim 34, wherein the organic solvent includes Propylene Carbonate.

39. The composition of claim 34, wherein the organic solvent includes Propylene Carbonate and Dimethyl Sulfoxide.

40. The composition of claim 34 wherein the organic solvent includes Propylene Carbonate and Benzyl Alcohol.

41. The composition of claim 34, wherein the organic solvent includes 1,2-Butylene Carbonate and Dimethyl Sulfoxide.

42. The composition of claim 34, wherein the organic solvent includes 1,2-Butylene Carbonate and Benzyl Alcohol.

43. The composition of claim 34, wherein the organic solvent includes Propylene Carbonate, 1,2-Butylene Carbonate and Dimethyl Sulfoxide.

44. The composition of claim 30 wherein the oxidizer is selected from the group consisting of hydrogen peroxide, benzoyl peroxide, urea peroxide and mixtures thereof.

45. The composition of claim 44 wherein the oxidizer is 10 to 80% hydrogen peroxide.

46. A composition for removing photoresist and/or resist residue from a substrate, the comprising including supercritical carbon dioxide, 1,2-Butylene Carbonate, Dimethyl Sulfoxide and hydrogen peroxide.

47. The composition of claim 46, further including ammonium fluoride.

48. A composition for removing photoresist and/or resist residuel from a substrate, the comprising including supercritical carbon dioxide, Benzyl Alcohol, 1,2-Butylene Carbonate and hydrogen peroxide.

49. The composition of claim 48, further including ammonium fluoride.

50. A composition for removing photoresist and/or resist residue from a substrate, the comprising including supercritical carbon dioxide, Propylene Carbonate, Dimethyl Sulfoxide and hydrogen peroxide.

51. The composition of claim 50, further including ammonium fluoride.

52. A composition for removing photoresist and/or resist residue from a substrate, the comprising including supercritical carbon dioxide, Propylene Carbonate, Benzyl Alcohol and hydrogen peroxide.

53. The composition of claim 52, further including ammonium fluoride.

Patent History
Publication number: 20040011386
Type: Application
Filed: Jul 17, 2002
Publication Date: Jan 22, 2004
Applicant: SCP Global Technologies Inc.
Inventor: Akshey Seghal (Eagle, ID)
Application Number: 10197384