Patents Issued in September 6, 2001
  • Publication number: 20010019125
    Abstract: First, a conductive material made of aluminum-based material is deposited and patterned to form a gate wire including a gate line, a gate pad, and a gate electrode. A gate insulating layer is formed, and a semiconductor layer and an ohmic contact layer are sequentially formed. Next, a conductor layer including a lower layer of Cr and an upper layer of aluminum-based material is deposited and patterned to form a data wire include a data line intersecting the gate line, a source electrode, a drain electrode and a data pad. Then, a passivation layer is deposited and patterned to form contact holes exposing the drain electrode, the gate pad and the data pad, respectively. Next, an amorphous silicon layer is deposited, an annealing process is executed to form inter-layer reaction layers on the drain electrode, the gate pa and the data pad, which are exposed through the contact holes. Then, the amorphous silicon layer is removed.
    Type: Application
    Filed: January 2, 2001
    Publication date: September 6, 2001
    Inventors: Mun-Pyo Hong, Sang-Gab Kim
  • Publication number: 20010019126
    Abstract: Thin-film transistor display devices include composite electrodes which provide low resistance contacts and paths for electrical signals and are less susceptible to parasitic metal migration which can limit display quality and lifetime. In particular, a thin-film transistor (TFT) display device is provided having an insulated gate electrode on a face of a substrate (e.g., transparent substrate) and a semiconductor layer on the insulated gate electrode, opposite the face of the substrate. Spaced apart source and drain electrodes are also provided on the semiconductor layer. These source and drain electrodes each preferably comprise a composite of at least two layers containing respective metals therein of different element type. Preferably, one of the layers comprises a metal which is capable of forming a low resistance contact with electrodes such as a pixel electrode (e.g.
    Type: Application
    Filed: January 26, 2001
    Publication date: September 6, 2001
    Inventor: Dong-gyu Kim
  • Publication number: 20010019127
    Abstract: In a method of etching an Al or Al alloy layer, an Al or Al alloy layer is formed on an underlying surface, the surface of the Al or Al alloy layer is processed with TMAH, a resist pattern is formed on the surface of the Al or Al alloy layer processed with TMAH, and by using the resist pattern as an etching mask, the Al or Al alloy layer is wet-etched.
    Type: Application
    Filed: April 9, 2001
    Publication date: September 6, 2001
    Applicant: Fujitsu Limited
    Inventor: Yukimasa Ishida
  • Publication number: 20010019128
    Abstract: A semiconductor device includes a first conductive layer; an interlayer insulative layer having an opening; and a second conductive layer. The first conductive layer, the interlayer insulative layer and the second conductive layer are sequentially laminated. The opening is partially covered by the second conductive layer, and an area of the first conductive layer is substantially entirely covered by the second conductive layer in the opening.
    Type: Application
    Filed: June 11, 1998
    Publication date: September 6, 2001
    Inventors: YASUSHI KUBOTA, ICHIRO SHIRAKI, TAMOTSU SAKAI, ZHANG HONGYONG, JUN KOYAMA
  • Publication number: 20010019129
    Abstract: First, a conductive material made of aluminum-based material is deposited and patterned to form a gate wire including a gate line, a gate pad, and a gate electrode. A gate insulating layer is formed, and a semiconductor layer and an ohmic contact layer are sequentially formed. Next, a conductor layer including a lower layer of Cr and an upper layer of aluminum-based material is deposited and patterned to form a data wire include a data line intersecting the gate line, a source electrode, a drain electrode and a data pad. Then, a passivation layer is deposited and a thermal treatment process using annealing step is executed. At this time, all or part of aluminum oxide (AlOx) layer having a high resistivity, which is formed on the gate wire and/or the data wire during manufacturing process, may be removed. Then, the passivation layer is patterned to form contact holes exposing the drain electrode, the gate pad and the data pad, respectively.
    Type: Application
    Filed: January 8, 2001
    Publication date: September 6, 2001
    Inventor: Chun-Gi You
  • Publication number: 20010019130
    Abstract: There is provided a semiconductor device including a picture display function and a picture capturing function on the same substrate. The semiconductor device includes a pixel matrix, an image sensor, and a peripheral circuit for driving those, which are provided on the same substrate. Moreover, in the semiconductor device, the structure/manufacturing process of the image sensor is made coincident with the structure/manufacturing process of the pixel matrix and the peripheral driver circuit, so that the semiconductor device can be manufactured at low cost.
    Type: Application
    Filed: March 15, 2001
    Publication date: September 6, 2001
    Applicant: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Shunpei Yamazaki, Jun Koyama
  • Publication number: 20010019131
    Abstract: The present invention provides a field effect transistor (FET) having, on a semi-insulating compound semiconductor substrate, a buffer layer; an active layer that includes a channel layer made of a first conductive-type epitaxial growth layer (e.g. InGaAs); source/drain electrodes formed on a first conductive-type contact layer which is formed either on said active layer or on a lateral face thereof; a gate layer made of a second conductive-type epitaxial growth layer (e.g. p+-GaAs); and a gate electrode formed on said gate layer; which further has, between said second conductive-type gate layer and said channel layer, a semiconductor layer (e.g. InGaP) that rapidly lowers the energy of the valance band spreading from said gate layer to said channel layer. The present invention improves withstand voltage characteristic of a FET having a pn junction in a gate region (JFET) and realizes stable operations of a JFET.
    Type: Application
    Filed: March 2, 2001
    Publication date: September 6, 2001
    Inventors: Takehiko Kato, Kazuki Ota, Hironobu Miyamoto, Naotaka Iwata, Masaaki Kuzuhara
  • Publication number: 20010019132
    Abstract: A semi-insulating bulk single crystal of silicon carbide is disclosed that has a resistivity of at least 5000 &OHgr;-cm at room temperature and a concentration of deep level trapping elements that is below the amounts that will affect the resistivity of the crystal, preferably below detectable levels. A method of forming the crystal is also disclosed, along with some resulting devices that take advantage of the microwave frequency capabilities of devices formed using substrates according to the invention.
    Type: Application
    Filed: January 10, 2001
    Publication date: September 6, 2001
    Inventors: Calvin H. Carter, Mark Brady, Valeri F. Tsvetkov
  • Publication number: 20010019133
    Abstract: Failure light emission of an EL element due to failure film formation of an organic EL material in an electrode hole 46 is improved. By forming the organic EL material after embedding an insulator in an electrode hole 46 on a pixel electrode and forming a protective portion 41b, failure film formation in the electrode hole 46 can be prevented. This can prevent concentration of electric current due to a short circuit between a cathode and an anode of the EL element, and can prevent failure light emission of an EL layer.
    Type: Application
    Filed: February 13, 2001
    Publication date: September 6, 2001
    Applicant: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Toshimitsu Konuma, Junya Maruyama
  • Publication number: 20010019134
    Abstract: A method of manufacturing a light emitting diode (LED) includes growing a light emitting region on a temporary substrate, bonding a transparent substrate of glass or quartz to the light emitting region and then removing the temporary substrate. A metal bonding agent also serving as an ohmic contact layer with LED is used to bond the transparent substrate to form a dual substrate LED element which is then heated in a wafer holding device that includes a graphite lower chamber and a graphite upper cover with a stainless steel screw. Because of the different thermal expansion coefficients between stainless and graphite, the stainless steel screw applies a pressure to the dual substrate LED element during the heating process to assist the bonding of the transparent substrate.
    Type: Application
    Filed: March 24, 2001
    Publication date: September 6, 2001
    Inventors: Kuo-Hsiung Chang, Kun-Chuan Lin, Ray-Hua Horng, Man-Fang Huang, Dong-Sing Wuu, Sun-Chin Wei, Lung-Chien Chen
  • Publication number: 20010019135
    Abstract: A sparse-carrier device including a crystal structure (10) formed of a first material and having a crystallographic facet (26) with a width (w) and a length and quantum dots (30) formed of a second material and positioned in at least one row on the crystallographic facet (26). The at least one row of quantum dots (30) extends along the length of the crystallographic facet (26) and is at least one quantum dot (30) wide (w) and a plurality of quantum dots long. The number of quantum dot rows determined by the width (w) of the crystallographic facet (26). The row of quantum dots (30) form a building block for circuits based on sparse or single electron devices.
    Type: Application
    Filed: March 19, 2001
    Publication date: September 6, 2001
    Inventors: Raymond K. Tsui, Kumar Shiralagi, Herbert Goronkin
  • Publication number: 20010019136
    Abstract: When a plurality of semiconductor layers including a nitride compound layer containing indium are stacked on a substrate, materials of layers above the indium containing nitride compound layer are limited to specific compounds, or their growth temperatures are limited within a predetermined range, to suppress thermal deterioration of the nitride compound layer containing indium or deterioration of the interface and to thereby grow a high-quality semiconductor light emitting element using nitride compound semiconductors.
    Type: Application
    Filed: March 6, 2001
    Publication date: September 6, 2001
    Applicant: Kabushiki Kaisha Toshiba
    Inventors: Hideto Sugawara, Masayuki Ishikawa
  • Publication number: 20010019137
    Abstract: A gate oxide film formed on the surface of a silicon substrate is partly reduced in thickness or “thinned” at its specified part overlying a source region. In a gate region, a multilayer structure is formed which includes a first polycrystalline silicon or “polysilicon” film of a p-type conductivity, a tunnel oxide film, and a second p-type polysilicon film in this order of lamination. The source region and the first polysilicon film make up a high-concentration impurity-doped pn junction with a thin silicon oxide film laid therebetween, providing a tunnel diode also known as Esaki diode. The diode is used for a negative differential resistance. Further, a portion between the first and second polysilicon films is a non-linear tunnel resistor, which serves as a load.
    Type: Application
    Filed: March 6, 2001
    Publication date: September 6, 2001
    Inventors: Junji Koga, Ken Uchida, Ryuji Ohba, Akira Toriumi
  • Publication number: 20010019138
    Abstract: To protect against electrostatic discharges in monolithic integrated circuits in CMOS technology, a lateral thyristor structure is presented which has a much lower firing voltage compared to conventional thyristor structures.
    Type: Application
    Filed: February 9, 2001
    Publication date: September 6, 2001
    Inventors: Martin Czech, Jurgen Kessel, Eckart Wagner, Ulrich Theus
  • Publication number: 20010019139
    Abstract: A circuit configuration for an integrated semiconductor memory has memory cells which are configured in a matrix-type memory cell array and which are combined to form addressable units of column lines and row lines. A decoder for selecting one of the column lines with a column select signal has a terminal for an input signal for activating the column select signal. A row activation signal serves for activating a row access signal sequence. The terminal for the input signal of the decoder is connected to a signal from the row access signal sequence which indicates that the row access is concluded. Successive signals in the memory access process prevent the column access from taking place before the end of the row access. The memory access is controlled in a self-adjusting manner.
    Type: Application
    Filed: December 28, 2000
    Publication date: September 6, 2001
    Inventors: Helmut Schneider, Sabine Schoniger
  • Publication number: 20010019140
    Abstract: A semiconductor memory device including an active matrix comprising a semiconductor substrate, a transistor formed on the semiconductor substrate and isolation regions for isolating the transistor, a first metal pattern formed on top of the active matrix and extending outside the transistor, a capacitor structure formed over the transistor, a barrier layer formed on top of the capacitor structure to improve thermal stability, and a second metal pattern formed on top of the capacitor structure to electrically connect the capacitor structure to the transistor through the first and second metal patterns.
    Type: Application
    Filed: December 28, 2000
    Publication date: September 6, 2001
    Inventor: Yong-Ku Baek
  • Publication number: 20010019141
    Abstract: The present invention provides a semiconductor device having at least a multilevel metal interconnection structure, at least a capacitor which lies over the multilevel metal interconnection structure, and an inter-layer insulator under the capacitor and over the multilevel metal interconnection structure for isolating the multilevel metal interconnection structure form the capacitor, wherein at least an anti-oxidizing film preventing penetration of oxygen is provided in the inter-layer insulator, so that the anti-oxidizing film lies covering the multilevel metal interconnection structure and under the capacitor.
    Type: Application
    Filed: January 31, 2001
    Publication date: September 6, 2001
    Applicant: NEC Corporation
    Inventor: Seiichi Takahashi
  • Publication number: 20010019142
    Abstract: A polysilicon film, a titanium silicide film and a titanium nitride film are formed in a storage node contact hole of a memory cell region, while a polysilicon film, a titanium silicide film and a titanium nitride film are formed in a bit line contact hole. In a peripheral circuit region, a peripheral circuit contact hole is formed in a silicon oxide film, and another peripheral circuit contact hole is formed in an interlayer insulation film and a silicon oxide film. Thus obtained are a semiconductor device reducing a leakage current, suppressing an electrical short and attaining a high-speed operation while readily forming each contact hole and a method of fabricating the same.
    Type: Application
    Filed: September 23, 1998
    Publication date: September 6, 2001
    Inventors: TAKUMI NAKAHATA, SATOSHI YAMAKAWA, YOSHIHIKO TOYODA
  • Publication number: 20010019143
    Abstract: Conductive plugs are formed in a first insulating layer on an integrated circuit substrate. A first conductive layer, a capacitor dielectric film and a second conductive layer are formed on the first insulating layer including on the conductive plugs. The second conductive layer, the capacitor dielectric film and the first conductive layer are patterned to define capacitors, each including a portion of the first conductive layer, a portion of the capacitor dielectric film thereon and a portion of the second conductive layer thereon, and to define a plurality of first conductive layer patterns that are free of the capacitor dielectric film and the second conductive layer thereon. At least a first of the capacitors is electrically connected to a conductive plug and at least a second of the capacitors is not electrically connected to a conductive plug. A second insulating layer is formed on the first insulating layer, on the capacitors and on the first conductive patterns.
    Type: Application
    Filed: January 26, 2001
    Publication date: September 6, 2001
    Inventors: Bon-Jae Koo, Ki-Nam Kim
  • Publication number: 20010019144
    Abstract: An improved thin-film capacitor and methods for forming the same on a surface of a substrate are disclosed. The capacitor includes a bottom conducting plate formed by depositing conductive material within a trench of an insulating layer and planarizing the conducting and insulating layers. A dielectric film is then deposited on the substrate surface, such that at least a portion of the dielectric material remains over the bottom conducting plate. A second conductive layer is then deposited over the surface of the substrate, patterned and etched such that at least a portion of the second conducting material resides over at least a portion of the dielectric material.
    Type: Application
    Filed: January 30, 2001
    Publication date: September 6, 2001
    Inventor: Arjun Kar Roy
  • Publication number: 20010019145
    Abstract: According to the present invention, an overlay margin is secured for matching a wiring electrode 11 with a storage electrode 15 of a capacitor at their point of contact and the required area for a memory cell can be decreased by placing the plug electrode 11 of titanium nitride in the active region of a semiconductor substrate or over the gate electrode, reducing the size of the opening for passing the storage electrode 15 of the capacitor of a stacked structure, and decreasing the line width of a wiring electrode 13. By the common use of the above-mentioned plug electrodes in a CMISFET region in the peripheral circuit and in a memory cell of a static RAM, their circuit layouts can be made compact.
    Type: Application
    Filed: April 5, 2001
    Publication date: September 6, 2001
    Applicant: Hitachi, Ltd.
    Inventors: Toshiaki Yamanaka, Shin?apos; ichiro Kimura, Hideyuki Matsuoka, Tomonori Sekiguchi, Takeshi Sakata, Kiyoo Itoh
  • Publication number: 20010019146
    Abstract: A plurality of charge storage electrodes are formed on an interlayer insulating film which is formed on a silicon substrate. A plurality of insulating members which surround periphery of the charge storage electrodes and which are separated from each other are formed. A capacitance insulating film is so formed as to cover the plurality of charge storage electrodes and the plurality of insulating members. A plate electrode is formed on the capacitance insulating film. The insulating members are formed of a silicon nitride film which has a function as an etching stopper for protecting the interlayer insulating film.
    Type: Application
    Filed: January 31, 2001
    Publication date: September 6, 2001
    Inventor: Masato Sakao
  • Publication number: 20010019147
    Abstract: A semiconductor device with a semiconductor body (1) provided with a memory capacitor (12, 26) with a lower electrode (11, 23) consisting of a layer of semiconductor material (7, 23) having a rough surface (8, 24) formed by hemispherical grains (9, 25) of the relevant semiconductor material on which a dielectric layer (12, 27) and an upper electrode (13, 28) are provided. The semiconductor material from which the lower electrode is manufactured is Si1-xGex, wherein 0.2<x<1. The semiconductor device can be manufactured in a simple manner because a layer of Si1-xGex having a rough surface formed by hemispherical grains of this material can be simply directly formed through deposition by means of usual CVD (Chemical Vapor Deposition) processes.
    Type: Application
    Filed: July 7, 1998
    Publication date: September 6, 2001
    Applicant: PHILIPS CORPORATION
    Inventors: WIEBE B. DE BOER, MARIEKE C. MARTENS
  • Publication number: 20010019148
    Abstract: A semiconductor device such as a flash EEPROM has oxide/nitride/oxide sandwich structure deposited on a semiconductor substrate. Optical lithography and plasma-assisted etching are used to remove portions of the structure that extend over active regions. Since the nitride layer of the etched sandwich structure has oxidation proof, oxygen radical is prevented from reaching the substrate. Thus, the bird's beak is prevented from appearing.
    Type: Application
    Filed: September 10, 1998
    Publication date: September 6, 2001
    Inventor: YOSIAKI HISAMUNE
  • Publication number: 20010019149
    Abstract: A NOR-type flash memory device includes a source region, a drain region and a source line connecting the source region of a memory cell transistor to an source region of an adjacent memory cell transistor in the form of diffusion regions formed in a substrate, wherein the drain region and the source line are formed simultaneously after the step of forming the source region.
    Type: Application
    Filed: September 25, 1998
    Publication date: September 6, 2001
    Inventor: SATOSHI TAKAHASI
  • Publication number: 20010019150
    Abstract: A non-volatile semiconductor memory device and a manufacturing method of the same where an etching residue generating short-circuit between gates is made harmless or a device is miniaturized are obtained. The method includes the steps of forming on a semiconductor substrate, a first gate layer and a second gate layer, forming a second gate electrode by etching the second gate layer, forming a first gate electrode by etching the first gate layer using the second gate electrode as a mask, and removing a residue left on a step portion by isotropic etching.
    Type: Application
    Filed: February 23, 2001
    Publication date: September 6, 2001
    Applicant: Mitsubishi Denki Kabushiki Kaisha
    Inventors: Kenji Kawai, Hajime Kimura, Kazuyuki Ohmi
  • Publication number: 20010019151
    Abstract: A tunneling charge injector includes a conducting injector electrode, a grid insulator disposed adjacent the conducting injector electrode, a grid electrode disposed adjacent said grid insulator, a retention insulator disposed adjacent said grid electrode, and a floating gate electrode disposed adjacent said retention insulator. In the tunneling charge injector, charge is injected from the conducting injector electrode onto the floating gate. Electrons are injected onto the floating gate when the conducting injector electrode is negatively biased with respect to the grid electrode, and holes are injected onto the floating gate when the conducting injector electrode is positively biased with respect to the grid electrode. The tunneling charge injector is employed in a nonvolatile memory cell having a nonvolatile memory element with a floating gate such as a floating gate MOS transistor.
    Type: Application
    Filed: December 6, 2000
    Publication date: September 6, 2001
    Inventor: John M. Caywood
  • Publication number: 20010019152
    Abstract: Sidewall spacers comprised of a second polycrystalline silicon film are formed on the sides of a first polycrystalline silicon film in such a way that a relationship of b≦a=x<c/2 is satisfied where x is the thickness of the sidewall spacers, a is a distance from the surface of the first insulating film to the surface of the first polycrystalline silicon film, b is the thickness of the second polycrystalline silicon film at a time of formation thereof and c is a distance between adjoining first polycrystalline silicon films.
    Type: Application
    Filed: March 23, 2001
    Publication date: September 6, 2001
    Applicant: KABUSHIKI KAISHA TOSHIBA
    Inventor: Hisataka Meguro
  • Publication number: 20010019153
    Abstract: A method is provided for producing, with high reproducibility, an SOI substrate which is flat and high in quality, and simultaneously for achieving resources saving and reduction in cost through recycling of a substrate member. For accomplishing this, a porous-forming step is performed forming a porous Si layer on at least a surface of an Si substrate and a large porosity layer forming step is performed for forming a large porosity layer in the porous Si layer. This large porosity layer forming step is performed by implanting ions into the porous Si layer with a given projection range or by changing current density of anodization in said porous-forming step. At this time, a non-porous single-crystal Si layer is epitaxial-grown on the porous Si layer. Thereafter, the surface of the porous Si layer and a support substrate are bonded together, and then separation is performed at the porous Si layer with the large porosity. Subsequently, selective etching is performed to remove the porous Si layer.
    Type: Application
    Filed: December 13, 2000
    Publication date: September 6, 2001
    Inventors: Nobuhiko Sato, Takao Yonehara, Kiyofumi Sakaguchi
  • Publication number: 20010019154
    Abstract: The present invention provides a semiconductor device and a method of manufacturing the same, the device being provided with a semiconductor circuit consisting of a semiconductor element that is capable of improving characteristics of a TFT and has uniform characteristics, the device and the method being provided by improving the interface between an active layer, in particular, a region for constructing a channel formation region and an insulating film.
    Type: Application
    Filed: May 9, 2001
    Publication date: September 6, 2001
    Applicant: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Shunpei Yamazaki, Setsuo Nakajima
  • Publication number: 20010019155
    Abstract: The present invention relates to a method of manufacturing a semiconductor device for forming an insulated gate field effect transistor in a completely isolated SOI layer, and has for its object to prevent depletion or inversion surely by introducing impurities of sufficiently high concentration into an SOI layer adjacent to an isolating film filled up between element regions of the SOI layer and a backing insulating layer and to aim at flattening of the SOI substrate surface, and further, includes the steps of implanting impurity ions into a semiconductor layer from an oblique direction so as to reach the semiconductor layer under an oxidation-preventive mask using the oxidation-preventive mask as a mask for ion implantation, heating the semiconductor layer in an oxidizing atmosphere with the oxidation-preventive mask so as to form a local oxide film to isolate the semiconductor layer, and also forming a impurity region with impurities implanted into the semiconductor layer in a region adjacent to the local
    Type: Application
    Filed: June 16, 1998
    Publication date: September 6, 2001
    Inventors: SUGURU WARASHINA, OSAMU TSUBOI
  • Publication number: 20010019156
    Abstract: A hard mask insulating layer is formed on a gate electrode which is formed on a main surface of a silicon substrate with a gate insulating layer interposed. An SiN sidewall spacer is directly formed on a thin SiO2 layer which is formed to cover a side surface of the gate electrode. A contact hole is formed to penetrate an interlayer insulating layer formed on an SiN stopper layer and reach the main surface of the silicon substrate.
    Type: Application
    Filed: January 18, 2001
    Publication date: September 6, 2001
    Applicant: Mitsubishi Denki Kabushiki Kaisha
    Inventors: Hiroshi Matsuo, Yuichi Yokoyama, Takuji Oda, Kiyoshi Maeda, Shinya Inoue, Yuji Yamamoto
  • Publication number: 20010019157
    Abstract: A structure of electronic devices integrated in a semiconductor substrate with a first type of conductivity comprising at least a first HV transistor and at least a second LV transistor, each having a corresponding gate region. Said first HV transistor has lightly doped drain and source regions with a second type of conductivity, and said second LV transistor has respective drain and source regions with the second type of conductivity, each including a lightly doped portion adjacent to the respective gate region and a second portion which is more heavily doped and comprises a silicide layer.
    Type: Application
    Filed: May 10, 2001
    Publication date: September 6, 2001
    Inventors: Federico Pio, Olivier Pizzuto
  • Publication number: 20010019158
    Abstract: Provided is an improved fabrication process for a semiconductor device by means of which in fabrication of insulated gate semiconductor devices having gate insulating films including silicon oxide films of different thickness, no contamination from a photoresist is ensured in a silicon oxide film, generation of defects in the silicon oxide film to be otherwise caused by aqueous solution treatments is suppressed, and thereby variability of characteristics among the semiconductor devices is suppressed.
    Type: Application
    Filed: March 19, 2001
    Publication date: September 6, 2001
    Inventors: Shimpei Tsujikawa, Masahiro Ushiyama, Toshiyuki Mine
  • Publication number: 20010019159
    Abstract: A process for making a local interconnect and the structures formed thereby. The process is practiced by forming a Ti layer having a nitrogen-rich upper portion over a portion of a substrate, forming a refractory metal layer on the Ti layer, forming a Si layer on the refractory metal layer, removing a portion of the Si layer, and heating to form a local interconnect structure. During this process, a source structure for the local interconnect is formed. This source structure comprises a Ti layer having a nitrogen-rich upper portion overlying a portion of a substrate, a refractory metal layer overlying the Ti layer, and a silicon layer overlying the refractory metal layer. The resulting local interconnect comprises a titanium silicide layer disposed on a portion of a substrate, a nitrogen-rich Ti layer disposed on the titanium silicide layer, and a refractory-metal silicide layer disposed on the nitrogen-rich Ti layer.
    Type: Application
    Filed: March 28, 2001
    Publication date: September 6, 2001
    Inventor: Jigish D. Trivedi
  • Publication number: 20010019160
    Abstract: An improved semiconductor device and method which includes a zener diode and RC network combination that share common semiconductor mask steps during the fabrication process. A common N+ layer serves to provide both the separate N+ cathode regions of the zener diode and the separate bottom electrode N+ region of the capacitor. A common metal layer serves to provide separate electrical contacts to the N+ cathode regions of the zener diode and also provides a separate top metal electrode for the capacitor. The capacitor dielectric is comprised of silicon nitride. A silicon dioxide/silicon nitride insulation layer is formed between the top metal electrode of the capacitor and a resistive layer typically made from tantalum nitride.
    Type: Application
    Filed: March 15, 2001
    Publication date: September 6, 2001
    Inventors: Dmitri G. Kravtchenko, Anatoly U. Paderin
  • Publication number: 20010019161
    Abstract: An objective of the present invention is to provide a method of fabricating a semiconductor device comprising a MIS field-effect transistor that makes it possible to prevent damage to edge portions of a gate oxide layer during ion implantation and also prevents the thickness of edge portions of a titanium silicide layer from becoming too great.
    Type: Application
    Filed: June 15, 1998
    Publication date: September 6, 2001
    Inventor: TOSHIHIKO HIGUCHI
  • Publication number: 20010019162
    Abstract: The present invention provides a semiconductor integrated circuit having excellent junction characteristics when applying the silicide technology to an extremely narrowed diffusion layer between adjacent gate electrodes as well as a method for manufacturing the same. To attain this object, a configuration of the invention has electrode layers formed on a semiconductor substrate, sidewall layers formed on the side walls of electrode layers, and high-melting point metal silicide layers formed on the electrode layers, wherein the sidewall layers are connected together. This makes it possible to eliminate abnormal growth during silicide formation because of the fact that the region defined between the electrode layers on the substrate is covered by the sidewall layers.
    Type: Application
    Filed: March 22, 2001
    Publication date: September 6, 2001
    Applicant: KABUSHIKI KAISHA TOSHIBA
    Inventor: Takeshi Asamura
  • Publication number: 20010019163
    Abstract: An integrated circuit including logic MOS transistors, EPROM cells, and high-voltage MOS transistors. Each EPROM cell includes a floating gate formed from a first polysilicon level above a tunnel oxide and a control gate formed from a second polysilicon level. Each logic MOS transistor includes a gate formed from a portion of the second polysilicon level above a very thin oxide. Each high-voltage transistor includes a gate corresponding to a portion of the first polysilicon level above a layer of said tunnel oxide, the gate being covered with a portion of the second polysilicon layer, except at locations where a contact is desired to be made with the gate. The uncovered portion of the first polysilicon layer in the high-voltage MOS transistors is coated with a silicon nitride layer.
    Type: Application
    Filed: December 22, 2000
    Publication date: September 6, 2001
    Inventors: Richard Fournel, Eric Mazaleyrat
  • Publication number: 20010019164
    Abstract: A method for fabricating a substantially smooth-surfaced anti-reflective coating on a semiconductor device structure including generating a plasma from an inert gas in a process chamber in which the anti-reflective coating is to be deposited. The anti-reflective coating may include silicon, oxygen and nitrogen, and is preferably of the general formula SixOyNz, where x equals 0.40 to 0.65, y equals 0.02 to 0.56 and z equals 0.05 to 0.33. Preferably, x+y+z equals one. The method may also include fabricating a silicon nitride layer over the anti-reflective coating. A semiconductor device which includes a silicon nitride layer over the anti-reflective coating has a density of less than about 40,000 particles or surface roughness features in the silicon nitride of about 120-150 nanometers dimension per eight inch wafer. Accordingly, a mask that is subsequently formed over the silicon nitride layer has a substantially uniform thickness and is substantially distortion-free.
    Type: Application
    Filed: March 28, 2001
    Publication date: September 6, 2001
    Inventor: Zhiping Yin
  • Publication number: 20010019165
    Abstract: A Schottky rectifier has multiple stages with substantially identical or very similar structures. Each stage includes a nitride-based semiconductor layer, a Schottky contact formed on one surface of the semiconductor layer, and an ohmic contact formed on an opposite surface of the semiconductor layer. The Schottky layer is formed from a metallic material with a high metal work function, and the ohmic contact is formed from a metallic material with a low metal work function. At least one of the stages is a middle stage located between two adjacent stages, such that the Schottky contact of the middle stage and the ohmic contact of one of the adjacent stages are joined together, and such that the ohmic contact of the middle stage and the Schottky contact of another one of the adjacent stages are joined together.
    Type: Application
    Filed: May 8, 2001
    Publication date: September 6, 2001
    Applicant: California Institute of Technology
    Inventors: Zvonimir Z. Bandic, Eric C. Piquette, Thomas C. McGill
  • Publication number: 20010019166
    Abstract: A semiconductor device 100 has a substrate 11 including a high breakdown voltage transistor region where transistors with high breakdown voltage and high dielectric strength Qn and Qp are formed and a low breakdown voltage transistor region where transistors with low breakdown voltage and low dielectric strength are formed. The transistors with high voltage breakdown and high dielectric strength Qn and Qp and the transistors with low voltage breakdown and low dielectric strength operate at different voltages. In the high breakdown voltage transistor region, the semiconductor device has metal wiring layers 19a and 19b that are fed with a high potential. The metal wiring layers 19a and 19b are provided over the transistors with high voltage breakdown and high dielectric strength Qn and Qp through a first interlayer dielectric film 16 and a second interlayer dielectric film 17. An element isolation dielectric region 14 is provided over the substrate 11.
    Type: Application
    Filed: December 27, 2000
    Publication date: September 6, 2001
    Inventor: Masahiko Tsuyuki
  • Publication number: 20010019167
    Abstract: The invention relates to a fusible link configuration in or on integrated circuits, in particular highly integrated memory chips, in which in each case one bank of fusible links (F1, F2, . . . ), together with an evaluation logic unit is configured beside and in association with a memory field segment. The evaluation logic unit is electrically connected to the fusible links (F1, F2, . . . ) and determines whether one or more of the fusible links (F1, F2, . . . ) is severed. One or more banks of the fusible links (F1, F2, . . . ) are divided up into smaller units while restricting the width(s) of the bank or banks. The units are grouped such that at least some of the fusible links (F1, F2, . . . ) are located beside one another transversely with respect to the width direction of the bank.
    Type: Application
    Filed: February 12, 2001
    Publication date: September 6, 2001
    Inventors: Helmut Fischer, Jochen Muller
  • Publication number: 20010019168
    Abstract: A semiconductor component with passivation includes at least two double passivating layers, of which an uppermost is applied to a planar surface of a layer located therebelow. The double passivating layers include two layers of different dielectric materials, for example silicon oxide and silicon nitride. The respective thicknesses of the individual passivating layers can be adapted to dimensions of the structuring of the layer to which the passivation is applied. This produces a reliable passivation which is particularly suitable for capacitively measuring fingerprint sensors.
    Type: Application
    Filed: January 9, 2001
    Publication date: September 6, 2001
    Inventors: Josef Willer, Paul-Werner Von Basse, Thomas Scheiter
  • Publication number: 20010019169
    Abstract: An electronic device having first and second external pins; first and second pads connected to the first external pin by respective bonding wires; and third and fourth pads connected to the second external pin respective bonding wires, and to a first common line by respective resistors. By means of a circuit configuration of this type, the intactness of the bonding wires can easily be checked by carrying out a simple resistance measurement between the first and the second external pin.
    Type: Application
    Filed: December 21, 2000
    Publication date: September 6, 2001
    Inventors: Filippo Marino, Salvatore Capici
  • Publication number: 20010019170
    Abstract: A multichip module comprises at least two semiconductor chips wherein each has a row of bonding pads formed on the active surface thereof and disposed along one side edge thereof. The semiconductor chips are mounted to a substrate in a stacking arrangement wherein the upper chip is attached to the active surface of the lower chip in a manner that no portion of the upper chip interferes with a vertical line of sight of each bond pad of the lower chip to permit wire bonding thereof. Therefore, all semiconductor chips can be wire bonded simultaneously after stacking the chips on the substrate. This allows wire bonding of all chips to be completed in a single step so as to increase UPH (unit per hour), thereby reducing cost for manufacturing the MCM.
    Type: Application
    Filed: May 15, 2001
    Publication date: September 6, 2001
    Applicant: Advanced Semiconductor Engineering, Inc.
    Inventors: Chun Hung Lin, Kuang-Hui Chen, Shyh-Wei Wang, Su Tao
  • Publication number: 20010019171
    Abstract: The present invention provides a package for enclosing a semiconductor chip and having a plurality of terminals, wherein the terminals are connected with each other by a conductive member in a manner that the electrical connection is disabled by an action of mounting the package on a printed circuit board. During storage, the terminals that are connected by a conductive material are in a short-circuited state until such time immediately before the package is mounted on a printed circuit board. This package prevents high voltage that results from static electricity between the terminals from being applied to circuits of the chip during storage or handling. Therefore, the short-circuited state maintained between the terminals is released after the mounting process, with the result that the operation of the semiconductor chip is not obstructed.
    Type: Application
    Filed: December 13, 2000
    Publication date: September 6, 2001
    Applicant: SEIKO EPSON CORPORATION
    Inventor: Takashi Takamura
  • Publication number: 20010019172
    Abstract: A lead frame is described which has at least one integrated electronic circuit. The integrated electronic circuit is situated in a region of a main area of the lead frame. The lead frame has at least one signal line, at least one electrically insulating plate, and an electrically conductive, grounded plate are situated. The electrically insulating plate, and the electrically conductive, grounded plate are situated, at least in sections, between the integrated electronic circuit and the signal line. A method for producing the lead frame is also described.
    Type: Application
    Filed: January 29, 2001
    Publication date: September 6, 2001
    Inventors: Jens Pohl, Simon Muff, Eckehard Miersch
  • Publication number: 20010019173
    Abstract: A first bump and a second bump are formed on the surface of a mounting board (substrate) so that the second bump is allowed to be higher than the first bump. A conductive adhesive member is transferred onto those bumps. A semiconductor substrate in which a mesa portion has been processed is mounted on the mounting board so that the first bump does not come into contact with an electrode on a top of the mesa portion directly while electrically connected to the electrode via the conductive adhesive member. In the semiconductor device in which the semiconductor substrate is mounted with an active surface processed to form the mesa portion facing the mounting board, the stresses applied to the mesa portion (a stress caused in mounting and a stress due to a heat cycle in use) are relieved, thus preventing deterioration of an element.
    Type: Application
    Filed: April 5, 2001
    Publication date: September 6, 2001
    Applicant: Matsushita Electric Industrial Co., Ltd.
    Inventors: Yutaka Taguchi, Tetsuyosi Ogura, Hideki Iwaki
  • Publication number: 20010019174
    Abstract: Fixtures for attaching a semiconductor chip to a substrate. The semiconductor chip has an array of joining material bumps, such as C4 solder balls. The substrate has an array of conductive pads corresponding to the array of joining material bumps. In a first embodiment the fixture has a body having a first cavity for containing the semiconductor chip and a second cavity in communication with the first cavity for containing the substrate. Whereby the substrate is placed over the semiconductor chip with the conductive pads opposing and in contact with the joining material bumps, such that during reflow of the joining material bumps, the weight of the substrate acts against the joining material bumps and aids in the attachment of the semiconductor chip to the substrate to form electrical connections therebetween.
    Type: Application
    Filed: July 16, 1998
    Publication date: September 6, 2001
    Inventors: DAVID N. COKELY, THOMAS M. CULNANE, LISA J. JIMAREZ, MIGUEL A. JIMAREZ, LI LI, DONALD I. MEAD