By Means To Heat Or Cool Patents (Class 118/724)
  • Patent number: 11970766
    Abstract: Examples of the disclosure relate to a sequential infiltration synthesis apparatus comprising: a reaction chamber constructed and arranged to accommodate at least one substrate; a first precursor flow path to provide the first precursor to the reaction chamber when a first flow controller is activated; a second precursor flow path to provide a second precursor to the reaction chamber when a second flow controller is activated; a removal flow path to allow removal of gas from the reaction chamber; a removal flow controller to create a gas flow in the reaction chamber to the removal flow path when the removal flow controller is activated; and, a sequence controller operably connected to the first, second and removal flow controllers and the sequence controller being programmed to enable infiltration of an infiltrateable material provided on the substrate in the reaction chamber. The apparatus may be provided with a heating system.
    Type: Grant
    Filed: January 17, 2023
    Date of Patent: April 30, 2024
    Assignee: ASM IP Holding B.V.
    Inventors: Ivo Johannes Raaijmakers, Jan Willem Maes, Werner Knaepen, Krzysztof Kamil Kachel
  • Patent number: 11967501
    Abstract: Described herein is a technique capable of improving a film uniformity on a surface of a substrate and a film uniformity among a plurality of substrates including the substrate. According to one aspect thereof, there is provided a substrate processing apparatus including: a substrate retainer including: a product wafer support region, an upper dummy wafer support region and a lower dummy wafer support region; a process chamber in which the substrate retainer is accommodated; a first, a second and a third gas supplier; and an exhaust system. Each of the first gas and the third gas supplier includes a vertically extending nozzle with holes, wherein an upper of an uppermost hole and a lower end of a lowermost hole are arranged corresponding to an uppermost and a lowermost dummy wafer, respectively. The second gas supplier includes a nozzle with holes or a slit.
    Type: Grant
    Filed: January 24, 2022
    Date of Patent: April 23, 2024
    Assignee: Kokusai Electric Corporation
    Inventors: Hiroaki Hiramatsu, Shuhei Saido, Takuro Ushida
  • Patent number: 11961707
    Abstract: The present disclosure describes a system and a method for providing a mixed gas to an ion implantation tool. The system includes a water supply, an electrical source, a gas generator. The gas generator is configured to generate a first gas from the water supply and the electrical source. The system also includes a first flow controller configured to control a first flow rate of the first gas, a gas container to provide a second gas, a second flow controller configured to control a second flow rate of the second gas, and a gas pipe configured to mix the first and second gases into a mixed gas. The mixed gas can be delivered to, for example, an ion source head of the ion implantation tool.
    Type: Grant
    Filed: December 12, 2022
    Date of Patent: April 16, 2024
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Hsing-Piao Hsu, Nai-Han Cheng, Ping-Chih Ou
  • Patent number: 11959169
    Abstract: A gas injector for processing a substrate includes a body having an inlet connectable to a gas source that is configured to provide a gas flow in a first direction into the inlet when processing a substrate on a substrate support disposed within a processing volume of a processing chamber, and an a gas injection channel formed in the body. The gas injection channel is in fluid communication with the inlet and configured to deliver the gas flow to an inlet of the processing chamber. The gas injection channel has a first interior surface and a second interior surface that are parallel to a second direction and a third direction. The second and third directions are misaligned with a center of the substrate, and are at an angle to the first direction towards a first edge of the substrate support.
    Type: Grant
    Filed: September 30, 2022
    Date of Patent: April 16, 2024
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Eric Kihara Shono, Vishwas Kumar Pandey, Christopher S. Olsen, Kartik Shah, Hansel Lo, Tobin Kaufman-Osborn, Rene George, Lara Hawrylchak, Erika Hansen
  • Patent number: 11939669
    Abstract: A coating method for preparing diamond thin film continuously by HFCVD device includes the steps of: (a) carbonizing left and right chamber hot filaments; (b) disposing a substrate on a platform along with a trolley in a sample access chamber under vacuum condition; opening a left chamber gate valve and moving the substrate to left thin film growth chamber; closing the left chamber gate valve to grow diamond thin film on the substrate; (c) repeating step (b) by using a right chamber gate valve and right thin film growth chamber to grow diamond thin film; (d) opening the left chamber gate valve and moving the substrate to the sample access chamber; closing the left chamber gate valve and dropping to room temperature while under vacuum condition; releasing the vacuum condition and taking out the substrate with diamond thin film; (e) repeating step (d) for the right chamber gate valve.
    Type: Grant
    Filed: November 26, 2019
    Date of Patent: March 26, 2024
    Inventors: Lusheng Liu, Xin Jiang, Nan Huang
  • Patent number: 11942340
    Abstract: An improved particle beam inspection apparatus, and more particularly, a particle beam inspection apparatus including an improved load lock unit is disclosed. An improved load lock system may comprise a plurality of supporting structures configured to support a wafer and a conditioning plate including a heat transfer element configured to adjust a temperature of the wafer. The load lock system may further comprise a gas vent configured to provide a gas between the conditioning plate and the wafer and a controller configured to assist with the control of the heat transfer element.
    Type: Grant
    Filed: July 6, 2022
    Date of Patent: March 26, 2024
    Assignee: ASML Netherlands B.V.
    Inventors: Jeroen Gerard Gosen, Te-Yu Chen, Dennis Herman Caspar Van Banning, Edwin Cornelis Kadijk, Martijn Petrus Christianus Van Heumen, Erheng Wang, Johannes Andreas Henricus Maria Jacobs
  • Patent number: 11942338
    Abstract: An apparatus for manufacturing a display device includes a chamber, a heating member disposed inside the chamber to provide a thermal atmosphere inside the chamber, where the heating member includes a first heater and a second heater facing each other, a height adjustment member including an end disposed between the first heater and the second heater, and a driving unit which drives the end of the height adjustment member to move up or down such that the end of the height adjustment member is located at one of a first height and a second height which are different heights between the first heater and the second heater. Each of the first height and the second height is different from a height of a top surface of the first heater, and different from a height of a bottom surface of the second heater facing the top surface of the first heater.
    Type: Grant
    Filed: June 15, 2021
    Date of Patent: March 26, 2024
    Assignee: SAMSUNG DISPLAY CO., LTD.
    Inventors: Jong Jang Park, Seok Soon Back, Sung Hune Yoo
  • Patent number: 11942320
    Abstract: An embodiment of the present disclosure provides a method of manufacturing a semiconductor structure. The method includes: providing a base; and forming a silicon nitride film layer on the base by an atomic layer deposition process, where the atomic layer deposition process includes multiple cyclic deposition steps; in each of the cyclic deposition steps, a silicon source gas and a nitrogen source gas are provided to a surface of the base; before each of the cyclic deposition steps, the method of manufacturing a semiconductor structure further includes a repair step; in the repair step, a repair gas is provided to the surface of the base, and the repair gas is a hydrogen-containing repair gas; the repair gas includes a polar molecule for repairing the surface of the base that is damaged.
    Type: Grant
    Filed: July 12, 2021
    Date of Patent: March 26, 2024
    Assignee: CHANGXIN MEMORY TECHNOLOGIES, INC.
    Inventor: Kun Zhao
  • Patent number: 11913114
    Abstract: A semiconductor manufacturing apparatus including a process chamber and a boat having a support member supporting substrates arranged in a first direction. An inner tube encloses the boat and includes a slit along a side wall. A nozzle supplies a process gas and includes a gas injection port at a position corresponding to the slit. The gas injection port includes a first inlet and first outlet. The slit includes a second inlet and second outlet. A distance to an end of the first inlet from a center line that connects a center of the first inlet and a center of the second outlet is different from the distance from the center line to an end of the first outlet and/or a distance from the center line to an end of the second inlet is different from a distance from the center line to an end of the second outlet.
    Type: Grant
    Filed: August 3, 2020
    Date of Patent: February 27, 2024
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Jae Hyun Yang, Sang Yub Ie, Tae Yong Kim, Phil Ouk Nam
  • Patent number: 11910726
    Abstract: A vapor deposition reactor apparatus, systems and methods for deposition of thin films, particularly high-temperature superconducting (HTS) coated conductors, utilize multi-sided susceptors and susceptor pairs for increased production throughput. The reactors may also be configured in multi-stack arrangements of the susceptors within a single reactor chamber for additional throughput gains.
    Type: Grant
    Filed: February 25, 2022
    Date of Patent: February 20, 2024
    Assignee: MetOx International, Inc.
    Inventors: Shahab Khandan, Nagaraja Shashidhar, Mikhail Novozhilov
  • Patent number: 11898245
    Abstract: Methods and apparatus for a baking chamber for processing a chamber component are provided herein. In some embodiments, a baking chamber includes: an enclosure defining a first chamber, wherein the first chamber comprises: a first chamber body having a first floor and first sidewalls that couple the first floor to a first lid of the first chamber body to define a first interior volume; a first support disposed in the first interior volume; a first gas line disposed in the first interior volume proximate the first lid; a first showerhead disposed between the first gas line and the first support; a first exhaust coupled to the first floor; and a first heater disposed in the first interior volume between the first support and the first floor; and wherein the enclosure includes a door configured to facilitate transferring the chamber component into and out of the enclosure.
    Type: Grant
    Filed: February 26, 2021
    Date of Patent: February 13, 2024
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Chien-Min Liao, Chi-Feng Liu, Yi Nung Wu, Hsiu Yang, Yixing Lin, Boon Sen Chan, Siamak Salimian
  • Patent number: 11894255
    Abstract: Embodiments of a process kit are provided herein. In some embodiments, a process kit for use in a substrate processing chamber includes: a ceramic ring having an upper surface and a lower surface, wherein the ceramic ring includes a chucking electrode disposed in the ceramic ring and a heating element disposed in the ceramic ring; and an edge ring disposed on the ceramic ring.
    Type: Grant
    Filed: July 27, 2020
    Date of Patent: February 6, 2024
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Jaeyong Cho, Kartik Ramaswamy, Daniel Sang Byun
  • Patent number: 11894219
    Abstract: The inventive concept relates to an apparatus and a method for processing a substrate. In an embodiment, the apparatus includes a process chamber having a processing space inside, a support unit that supports the substrate in the processing space, a gas supply unit that supplies a process gas into the processing space, and a plasma source that generates plasma from the process gas. The support unit includes a support on which the substrate is placed, an edge ring around the substrate placed on the support, an impedance adjustment member provided below the edge ring, and a temperature adjustment member that variably adjusts temperature of the impedance adjustment member.
    Type: Grant
    Filed: July 22, 2022
    Date of Patent: February 6, 2024
    Assignee: SEMES CO., LTD.
    Inventor: Je Ho Kim
  • Patent number: 11887873
    Abstract: A wafer placement apparatus includes a ceramic plate having an upper surface as a wafer placement surface and in which an electrode is embedded; and a cooling plate provided on a lower surface, opposite a wafer placement surface, of a ceramic plate and in which a refrigerant passage is provided, wherein a refrigerant passage includes a first passage forming a single continuous line and extending parallel to a wafer placement surface, and a second passage forming a single continuous line and extending along a first passage, an outlet of a second passage being positioned near an inlet of a first passage, an inlet of a second passage being positioned near an outlet of a first passage.
    Type: Grant
    Filed: March 18, 2020
    Date of Patent: January 30, 2024
    Assignee: NGK INSULATORS, LTD.
    Inventors: Keita Mine, Takumi Wakisaka
  • Patent number: 11887878
    Abstract: Embodiments of a substrate support are provided herein. In some embodiments, a substrate support for use in a substrate processing chamber includes a lower assembly having a base plate assembly, wherein the base plate assembly includes a plurality of electrical feedthroughs disposed about a central protrusion; a ceramic puck disposed on the lower assembly and removeably coupled to the base plate assembly, wherein the ceramic puck has an electrode disposed therein that is electrically coupled to first pair of electrical feedthroughs of the plurality of electrical feedthroughs; and a flexible connector having a spiral portion disposed between the ceramic puck and each of the plurality of electrical feedthroughs to allow for differences in thermal expansion of the ceramic puck and the base plate assembly.
    Type: Grant
    Filed: June 12, 2020
    Date of Patent: January 30, 2024
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Shreesha Yogish Rao, Mukund Sundararajan, Cheng-Hsiung Matthew Tsai, Manjunatha P. Koppa, Steven V. Sansoni
  • Patent number: 11881385
    Abstract: Apparatus and methods use a unique process kit to protect a processing volume of a process chamber. The process kit includes a shield with a frame configured to be insertable into a shield and a foil liner composed of a metallic material that is attachable to the frame at specific points. The specific attachment points are spaced apart to produce an amount of flexibility based on a malleability of the metallic material. The amount of flexibility ranges from approximately 2.5 to approximately 4.5.
    Type: Grant
    Filed: April 24, 2020
    Date of Patent: January 23, 2024
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Yueh Sheng Ow, Yuichi Wada, Junqi Wei, Kang Zhang, Ananthkrishna Jupudi, Sarath Babu, Kok Seong Teo, Kok Wei Tan
  • Patent number: 11881416
    Abstract: Exemplary substrate processing systems may include a lid plate. The systems may include a gas splitter seated on the lid plate. The gas splitter may define a plurality of gas inlets and gas outlets. A number of gas outlets may be greater than a number of gas inlets. The systems may include a plurality of valve blocks that are interfaced with the gas splitter. Each valve block may define a number of gas lumens. An inlet of each of the gas lumens may be in fluid communication with one of the gas outlets. An interface between the gas splitter and each of the valve blocks may include a choke. The systems may include a plurality of output manifolds seated on the lid plate. The systems may include a plurality of output weldments that may couple an outlet of one of the gas lumens with one of the output manifolds.
    Type: Grant
    Filed: December 14, 2020
    Date of Patent: January 23, 2024
    Assignee: Applied Materials, Inc.
    Inventors: Arun Chakravarthy Chakravarthy, Chahal Neema, Abhijit A. Kangude, Elizabeth Neville, Vishal S. Jamakhandi, Kurt R. Langeland, Syed A. Alam, Ming Xu, Kenneth Le
  • Patent number: 11875967
    Abstract: An apparatus may include a clamp to clamp a substrate wherein the clamp is arranged opposing a back side of the substrate; and an illumination system, disposed to direct radiation to the substrate, when the substrate is disposed on the clamp, wherein the radiation comprises a radiation energy equal to or above a threshold energy to generate mobile charge in the substrate, where the illumination system is disposed to direct radiation to a front side of the substrate, opposite the back side of the substrate.
    Type: Grant
    Filed: May 21, 2020
    Date of Patent: January 16, 2024
    Assignee: Applied Materials, Inc.
    Inventors: Qin Chen, Julian G. Blake, Michael W. Osborne, Steven M. Anella, Jonathan D. Fischer
  • Patent number: 11865572
    Abstract: Embodiments relate to surface treating a substrate, spraying precursor onto the substrate using supercritical carrier fluid, and post-treating the substrate sprayed with the precursor to form a layer with nanometer thickness of material on the substrate. A spraying assembly for spraying the precursor includes one or more spraying modules and one or more radical injectors at one or more sides of the spraying module. A differential spread mechanism is provided between the spraying module and the radical injectors to inject spread gas that isolates the sprayed precursor and radicals generated by the radical injectors. As relative movement between the substrate and the spraying assembly is made, portions of the substrate is exposed to first radicals, sprayed with precursors either one of the spraying modules or both spraying modules using supercritical carrier fluid, and then exposed to second radicals again.
    Type: Grant
    Filed: March 4, 2021
    Date of Patent: January 9, 2024
    Assignee: Nova Engineering Films, Inc.
    Inventor: Sang In Lee
  • Patent number: 11869786
    Abstract: A wafer boat configured to be placed on a pedestal of a vertical batch furnace, the pedestal having a substantially flat support surface. The wafer boat comprises a support ring defining a substantially flat bottom end surface of the wafer boat, and an alignment ring substantially concentric with the support ring. Either the support surface of the pedestal, or a bottom side of the alignment ring is provided with a plurality of alignment elements respectively protruding upwards from the support surface or downwards from the alignment ring, while the other one is provided with a plurality of alignment openings configured to accommodate therein the plurality of alignment elements. The support ring downwardly protrudes beyond the alignment ring so that, when the wafer boat is placed on the pedestal, the support ring is supported on the support surface while the alignment ring is spaced from the support surface of the pedestal.
    Type: Grant
    Filed: February 3, 2022
    Date of Patent: January 9, 2024
    Assignee: ASM IP Holding B.V.
    Inventors: Theodorus G. M. Oosterlaken, Chaggai Ganani
  • Patent number: 11869799
    Abstract: A heat exchange unit performs heat exchange using a coolant and is disposed inside a placing table and equipped with heat exchange chambers. The heat exchange chambers are disposed in regions, respectively, set on the placing table. The regions are set along a placing surface of the placing table. A chiller device circulates the coolant with respect to the heat exchange chambers. A temperature detection device includes temperature detectors. The temperature detectors are disposed in the regions, respectively, between the respective heat exchange chambers and the placing surface. A control device controls the chiller device to adjust a pressure of the coolant such that a temperature of the placing table reaches a first temperature range, and controls the chiller device to individually adjust flow rates of the coolant supplied to the heat exchange chambers, respectively, such that all of temperatures measured by the temperature detectors reach the first temperature range.
    Type: Grant
    Filed: September 5, 2019
    Date of Patent: January 9, 2024
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Shin Yamaguchi, Akiyoshi Mitsumori
  • Patent number: 11859285
    Abstract: A processing apparatus includes: a processing container having a substantially cylindrical shape; a gas nozzle extending in a longitudinal direction of the processing container along an inside of a side wall of the processing container; an exhaust body formed on the side wall on an opposite side of the processing container to face the processing gas nozzle; and an adjustment gas nozzle configured to eject a concentration adjustment gas toward a center of the processing container. The adjustment gas nozzle is provided within an angle range in which the exhaust body is formed at a central angle with reference to the center of the processing container in a plan view from the longitudinal direction.
    Type: Grant
    Filed: September 13, 2021
    Date of Patent: January 2, 2024
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Hiroki Iriuda, Kuniyasu Sakashita
  • Patent number: 11862490
    Abstract: The present application discloses a diffusion furnace, including: a furnace tube structure including a furnace tube body and a furnace bottom, a bottom of the furnace tube body being connected to the furnace bottom to form a reaction chamber; and a carrying structure including a pedestal and a plurality of cassettes disposed on the pedestal, the pedestal being disposed on the furnace bottom. By disposing the plurality of the cassettes, a height of the furnace tube body can be decreased and a width of the furnace tube body can be increased, thus enlarging a space of equipment repair and maintenance, which is favorable for the repair and maintenance of the equipment.
    Type: Grant
    Filed: October 13, 2021
    Date of Patent: January 2, 2024
    Assignee: CHANGXIN MEMORY TECHNOLOGIES, INC.
    Inventor: Pengfei Gao
  • Patent number: 11846017
    Abstract: Provided is a hot filament CVD device capable of easily attaching, detaching, and replacing a filament. The hot filament CVD device includes a chamber, a base material support that supports multiple base materials, filament cartridges, and paired holding parts. The filament cartridges each include multiple filaments (60), a first frame, a second frame, and paired connecting members. The paired holding parts guide each of the filament cartridges when it is inserted into the chamber, and hold the filament cartridges in the chamber so that the filament cartridges face the multiple base materials.
    Type: Grant
    Filed: August 19, 2019
    Date of Patent: December 19, 2023
    Assignee: KOBE STEEL, LTD.
    Inventors: Tetsuya Takahashi, Satoshi Hirota, Rainer Cremer
  • Patent number: 11835048
    Abstract: Uniform exhaustion can be realized with a relatively simple structure in a vacuum pump device. A vacuum pump portion includes an outer rotor and an inner stator, a lifting-type gate valve portion includes a valve body and a valve seat, and the valve body is lifted/lowered with respect to the valve seat along a rotating shaft direction. And the inner stator includes a through hole along the rotating shaft direction of the vacuum pump portion, and at least a part of a supporting member which supports the valve body is disposed in the through hole.
    Type: Grant
    Filed: July 3, 2020
    Date of Patent: December 5, 2023
    Assignee: Edwards Japan Limited
    Inventor: Yoshihiro Enomoto
  • Patent number: 11834743
    Abstract: Apparatus for supplying vaporized reactants to a reaction chamber are described herein. In some embodiments, a showerhead assembly for depositing multiple materials on a substrate includes a plurality of gas delivery portions, each gas delivery portion having an inlet, a wedge shaped body that defines a plenum, and a plurality of openings disposed on a bottom surface of the gas delivery portion, wherein each of the plenums are fluidly isolated from each other.
    Type: Grant
    Filed: September 13, 2019
    Date of Patent: December 5, 2023
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Alexander Lerner, Prashanth Kothnur, Roey Shaviv, Satish Radhakrishnan
  • Patent number: 11830755
    Abstract: An electrostatic chuck includes a ceramic dielectric substrate and a base plate. The ceramic dielectric substrate includes a first major surface, a second major surface, a groove part, and a plurality of cooling gas holes. The groove part includes first and second circumferential grooves, and first and second radial-direction grooves. The plurality of cooling gas holes includes first and second holes. The first hole overlaps the first radial-direction groove. The second hole overlaps the second radial-direction groove. The base plate includes a gas inlet path that supplies the cooling gas to the first and second holes. The first circumferential groove includes first and second end portions. The second circumferential groove includes third and fourth end portions. The third end portion and the fourth end portion do not overlap the first end portion in the radial direction.
    Type: Grant
    Filed: March 14, 2022
    Date of Patent: November 28, 2023
    Assignee: Toto Ltd.
    Inventors: Akihito Ono, Jumpei Uefuji, Tomoki Umetsu, Tatsuya Hayakawa
  • Patent number: 11821106
    Abstract: A semiconductor process chamber includes a susceptor, a base plate surrounding the susceptor, a liner on an inner sidewall of the base plate, and a preheat ring between the susceptor and the base plate and coplanar with the susceptor. The process chamber further includes an upper dome coupled to the base plate and covering an upper surface of the susceptor. The upper dome includes a first section on an upper surface of the base plate and a second section extending from the first section and overlapping the susceptor. The first section includes a first region on the upper surface of the base plate, a second region extending from the first region past the base plate, and a third region extending from the second region with a decreasing thickness to contact the second section.
    Type: Grant
    Filed: January 12, 2018
    Date of Patent: November 21, 2023
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Keum Seok Park, Gyeom Kim, Yi Hwan Kim, Sun Jung Kim, Pan Kwi Park, Jeong Ho Yoo
  • Patent number: 11815312
    Abstract: A rapid thermal processing method and a rapid thermal processing device are provided. The rapid thermal processing method includes the following operations. A wafer is provided. A first heating operation is performed on the wafer to heat the wafer to a first temperature. The wafer is controlled to start rotating. The first temperature is maintained for a first predetermined time. A second heating operation is performed on the wafer to heat the wafer from the first temperature to a second temperature, and the second temperature is maintained for a second predetermined time. A third heating operation is performed on the wafer to heat the wafer from the second temperature to a third temperature, and the third temperature is maintained for a third predetermined time.
    Type: Grant
    Filed: May 31, 2022
    Date of Patent: November 14, 2023
    Assignee: CHANGXIN MEMORY TECHNOLOGIES, INC.
    Inventors: Wei Li, Taoyan Yan
  • Patent number: 11814729
    Abstract: A method for manufacturing a glass article includes a heating step that heats a heating object made of glass. The heating step includes heating the heating object by converting, by a converter arranged between the heating object and a radiant heat source that radiates infrared light, a spectrum of the infrared light radiated from the radiant heat source and causing the heating object to absorb the infrared light radiated from the converter. The converter includes: an infrared light absorber that generates heat by absorbing the infrared light radiated from the radiant heat source; and an infrared light radiator made of a silicon-containing material. The infrared light radiator is heated through thermal conduction from the infrared light absorber. At least part of a surface of the converter facing the heating object includes at least part of a surface of the infrared light radiator.
    Type: Grant
    Filed: October 23, 2019
    Date of Patent: November 14, 2023
    Assignee: NIPPON ELECTRIC GLASS CO., LTD.
    Inventor: Takayoshi Saitoh
  • Patent number: 11804363
    Abstract: Exemplary semiconductor processing chambers may include an inlet manifold defining a central aperture. The inlet manifold may also define a first channel and a second channel, and each of the channels may extend through the inlet manifold radially outward of the central aperture. The chambers may also include a gasbox characterized by a first surface facing the inlet manifold and a second surface opposite the first. The gasbox may define a central aperture aligned with the central aperture of the inlet manifold. The gasbox may define a first annular channel in the first surface extending about the central aperture of the gasbox and fluidly coupled with the first channel of the inlet manifold. The gasbox may define a second annular channel extending radially outward of the first and fluidly coupled with the second channel of the inlet manifold. The second annular channel may be fluidly isolated from the first.
    Type: Grant
    Filed: November 4, 2020
    Date of Patent: October 31, 2023
    Assignee: Applied Materials, Inc.
    Inventors: Fang Ruan, Diwakar Kedlaya, Truong Van Nguyen, Mingle Tong, Sherry L. Mings, Venkata Sharat Chandra Parimi
  • Patent number: 11796400
    Abstract: A lifetime estimation system for estimating a lifetime of a heating source is provided in an apparatus for heating a target object using the heating source and performing a feedback control of a target object temperature using a temperature controller based on a temperature measurement value of the target object measured by a temperature measuring device. The temperature controller controls a power supplied to the heating source and performs a temperature control using a state space model to perform the feedback control of the temperature of the target object. The lifetime estimation system includes a temperature monitor unit that monitors the temperature measurement value of the target object, a hunting amount detection unit that detects a hunting amount in a stable region of the monitored temperature of the target object, and a lifetime estimation unit that estimates a lifetime of the heating source from the detected hunting amount.
    Type: Grant
    Filed: February 10, 2021
    Date of Patent: October 24, 2023
    Assignee: Tokyo Electron Limited
    Inventor: Masahito Kobayashi
  • Patent number: 11791176
    Abstract: Apparatus and methods to process one or more wafers are described. The apparatus comprises a chamber defining an upper interior region and a lower interior region. A heater assembly is on the bottom of the chamber body in the lower interior region and defines a process region. A wafer cassette assembly is inside the heater assembly and a motor is configured to move the wafer cassette assembly from the lower process region inside the heater assembly to the upper interior region.
    Type: Grant
    Filed: October 28, 2019
    Date of Patent: October 17, 2023
    Assignee: Applied Materials, Inc.
    Inventors: Michael Honan, David Blahnik, Robert Brent Vopat, Jeffrey Blahnik, Charles Carlson
  • Patent number: 11784075
    Abstract: Methods and apparatus for supporting substrates are provided herein. In some embodiments, a substrate support for supporting a plurality of substrates includes: a plurality of substrate support elements having a ring shape configured to support a plurality of substrates in a vertically spaced apart relation; and a plurality of substrate lift elements interfacing with the plurality of substrate support elements and configured to simultaneously selectively raise or lower substrates off of or onto respective substrate support elements.
    Type: Grant
    Filed: April 12, 2021
    Date of Patent: October 10, 2023
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Shashidhara Patel, Ananthkrishna Jupudi, Ribhu Gautam
  • Patent number: 11781220
    Abstract: A process and apparatus is provided in which improved control of gas phase radicals is provided. In one embodiment, a system generating atomic oxygen is provided in which gases which generate the atomic oxygen are mixed prior to injection in a process space. The mixing may occur within a showerhead or prior to entrance into the showerhead. In another embodiment, a showerhead is provided which includes multiple zones. Some of the zones of the showerhead may inject the mixture of gases which generate the atomic oxygen into the process space, while other zones do not inject that mixture. In one embodiment, the mixture of gases which generates the atomic oxygen is injected into a main zone, while a subset of those gases is injected into inner and outer zones of the showerhead. The process and apparatus provides a uniform density of atomic oxygen across the substrate being processed.
    Type: Grant
    Filed: December 10, 2021
    Date of Patent: October 10, 2023
    Assignee: Tokyo Electron Limited
    Inventor: Anthony Dip
  • Patent number: 11781216
    Abstract: A dry powder MOCVD vapor source system is disclosed that utilizes a gravimetric powder feeder, a feed rate measurement and feeder control system, an evaporator and a load lock system for continuous operation for thin film production, particularly of REBCO type high temperature superconductor (HTS) tapes.
    Type: Grant
    Filed: January 13, 2023
    Date of Patent: October 10, 2023
    Assignee: MetOx Technologies, Inc.
    Inventors: Mikhail Novozhilov, Alex Ignatiev
  • Patent number: 11773506
    Abstract: An IC fabrication system for facilitating improved thermal uniformity includes a chamber within which an IC process is performed on a substrate, a heating mechanism configured to heat the substrate, and a substrate-retaining device configured to retain the substrate in the chamber. The substrate-retaining device includes a contact surface configured to contact an edge of the retained substrate without the substrate-retaining device contacting a circumferential surface of the retained substrate. The substrate-retaining device includes a plurality of contact regions and a plurality of noncontact regions disposed at a perimeter, where the plurality of noncontact regions is interspersed with the plurality of contact regions. Each of the plurality of noncontact regions includes the contact surface.
    Type: Grant
    Filed: October 7, 2019
    Date of Patent: October 3, 2023
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Yi-Hung Lin, Jr-Hung Li, Chang-Shen Lu, Tze-Liang Lee, Chii-Horng Li
  • Patent number: 11767593
    Abstract: Gas distribution assemblies and process chambers comprising gas distribution assemblies are described. The gas distribution assembly includes a gas distribution plate, a lid and a primary O-ring. The primary O-ring is positioned between a purge channel of a first contact surface of the gas distribution plate and a second contact surface. Methods of sealing a process chamber using the disclosed gas distribution assemblies are also described.
    Type: Grant
    Filed: September 20, 2022
    Date of Patent: September 26, 2023
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Muhannad Mustafa, Muhammad M. Rasheed
  • Patent number: 11764101
    Abstract: A susceptor for semiconductor substrate processing is disclosed herein. In some embodiments, the susceptor may comprise an inner susceptor portion and an outer susceptor portion. The susceptor portions may self-align via complementary features, such as tabs on the outer susceptor and recesses on the inner susceptor portion. The inner susceptor portion may contain several contact pads with which to support a wafer during semiconductor processing. In some embodiments, the contact pads are hemispherical to reduce contact area with the wafer, thereby reducing risk of backside damage. The inner susceptor portion may contain a cavity with which to receive a thermocouple. In some embodiments, the diameter of the cavity is greater than the diameter of the thermocouple such that the thermocouple does not contact the walls of the cavity during processing, thereby providing highly accurate temperature measurements.
    Type: Grant
    Filed: October 20, 2020
    Date of Patent: September 19, 2023
    Assignee: ASM IP Holding, B.V.
    Inventors: Saket Rathi, Shiva K. T. Rajavelu Muralidhar, Siyao Luan, Alexandros Demos, Xing Lin
  • Patent number: 11754062
    Abstract: A method comprises: heating a flow of coolant liquid by passing the flow through one or more fluidic tubing lines, channels or conduits that are in thermal contact with a housing of a vacuum pump; apportioning the flow of heated coolant liquid between a bypass fluid tubing line and a channel within a wall of a vacuum chamber; recombining the first and second partial flows of the heated coolant liquid; passing the recombined flow of the coolant liquid through a heat exchanger that cools the coolant liquid; and recirculating the cooled coolant liquid through the one or more fluidic tubing lines, channels or conduits that are in thermal contact with the vacuum pump housing, wherein the apportionment of the flow of the heated coolant liquid is automatically performed under the control of an electronic controller or computer in response to a temperature measurement received by the electronic controller or computer.
    Type: Grant
    Filed: March 21, 2022
    Date of Patent: September 12, 2023
    Assignee: THERMO FINNIGAN LLC
    Inventors: Jaime A. Carrera, Dustin J. Kreft
  • Patent number: 11753718
    Abstract: A method for atomic layer deposition for the deposition of silicon oxide on a substrate, performed at room temperature, involving at least three precursors, being silicon tetrachloride, water and one Lewis base agent, being in various instances ammonia. The process comprises the steps of exposing on the substrate during an exposure time (a) the one Lewis base agent, (b) the silicon tetrachloride, and (c) the water. The process is remarkable in that at least one step of purge with nitrogen gas is performed after each of the steps (a), (b) and (c) during a purge time. Additionally, a film of silicon oxide which is remarkable in that it comprises a low level of chlorine contaminant and a significant degree of porosity with pores, the pores being in various instances micropores, mesopores or nanopores.
    Type: Grant
    Filed: June 21, 2021
    Date of Patent: September 12, 2023
    Assignee: Luxembourg Institute of Science and Technology (LIST)
    Inventors: Didier Arl, Noureddine Adjeroud, Damien Lenoble
  • Patent number: 11753715
    Abstract: Apparatus and methods for supplying a vapor to a processing chamber are described. The vapor delivery apparatus comprises an inlet conduit and an outlet conduit, each with two valves, in fluid communication with an ampoule. A bypass conduit connects the inlet conduit and the outlet conduit. A flow restrictive device restricts flow through the outlet conduit.
    Type: Grant
    Filed: June 5, 2020
    Date of Patent: September 12, 2023
    Assignee: Applied Materials, Inc.
    Inventors: Kenric Choi, William J. Durand
  • Patent number: 11746415
    Abstract: The invention relates to a device (1) and method for applying a carbon layer, in particular a diamond layer, to a substrate (2, 2a) by means of chemical vapour deposition, comprising a deposition chamber (3) into which a process gas, in particular molecular hydrogen and/or a mixture of molecular hydrogen and a carbon-containing gas, such as methane can be supplied, wherein a gas inlet and gas activation element (7) is provided in the form of a hollow body with a flow channel (7b) for the process gas, a wall (7a) surrounding the flow channel (7b), and an outlet opening (16) feeding from the flow channel (7b) into the deposition chamber (3), and a heating device (8) is provided for heating the wall (7a) of the gas inlet and gas activation element (7).
    Type: Grant
    Filed: May 12, 2020
    Date of Patent: September 5, 2023
    Assignee: CARBONCOMPETENCE GMBH
    Inventors: Doris Steinmuller-Nethl, Detlef Steinmuller
  • Patent number: 11746419
    Abstract: A CVD reactor includes a gas inlet member having a circular outline, and a susceptor that can be heated by a heating device. The gas inlet member has a cooled ceiling panel with outlet openings. The CVD reactor further comprises a shield plate, which adjoins the ceiling panel and has a circular outline. The shield plate has a central zone, an annular zone surrounding the central zone, having a rear side that points toward the ceiling panel, and a flat gas outlet surface pointing toward the process chamber, in which gas outlet openings terminate. The rear side in the central zone defines a rear plane running parallel to the gas outlet surface. The shield plate has a material thickness between 3 to 12 mm, and that the shield plate is spaced apart from the ceiling plate by a gap having a height between 0.3 to 1 mm.
    Type: Grant
    Filed: October 22, 2019
    Date of Patent: September 5, 2023
    Assignee: AIXTRON SE
    Inventors: Adam Boyd, Wilhelm Josef Thomas Krücken, Honggen Jiang, Fred Michael Andrew Crawley
  • Patent number: 11746413
    Abstract: A chemical delivery system includes a bulk container, a run/refill chamber, a first conduit and a second conduit. The bulk container stores a precursor. The run/refill chamber includes a plurality of spaced tubes having a plurality of surfaces for receiving the precursor in vapor form and storing the precursor in solid form. The first conduit connects the bulk container to the run/refill chamber for transporting the precursor from the bulk container to the run/refill chamber in vapor form. The second conduit connects the run/refill chamber to a deposition chamber for transporting the precursor from the run/refill chamber to the deposition chamber in vapor form.
    Type: Grant
    Filed: May 31, 2022
    Date of Patent: September 5, 2023
    Assignee: ENTEGRIS, INC.
    Inventors: David James Eldridge, David Peters, Robert Wright, Jr., Bryan C. Hendrix, Scott L. Battle, John Gregg
  • Patent number: 11740643
    Abstract: A temperature control device for controlling a temperature of a temperature control object is provided. The temperature control device includes a heating mechanism having a heating source configured to heat the temperature control object, a cooling mechanism having a cooling source configured to cool the temperature control object, an infrared sensor configured to measure the temperature of the temperature control object, and a temperature controller configured to allow a control system including a sliding mode control to control the heating mechanism and the cooling mechanism based on a measurement signal from the infrared sensor and perform a feedback control of the temperature of the temperature control object.
    Type: Grant
    Filed: February 10, 2021
    Date of Patent: August 29, 2023
    Assignee: Tokyo Electron Limited
    Inventor: Masahito Kobayashi
  • Patent number: 11735442
    Abstract: There is provided a technique that includes: processing a substrate in a process vessel by supplying a processing gas to the substrate and exhausting the processing gas from an exhaust part including an exhaust pipe and a pump; cleaning an interior of the exhaust part by supplying a first cleaning gas from a supply port installed in the exhaust pipe directly into the exhaust pipe; and cleaning an interior of the process vessel by supplying a second cleaning gas into the process vessel, wherein a frequency of performing the act of cleaning the interior of the exhaust part is set higher than a frequency of performing the act of cleaning the interior of the process vessel.
    Type: Grant
    Filed: August 18, 2022
    Date of Patent: August 22, 2023
    Assignee: KOKUSAI ELECTRIC CORPORATION
    Inventor: Takashi Ozaki
  • Patent number: 11714356
    Abstract: The inventive concept provides a bake unit. The bake unit comprising: a housing having an upper cover and a lower frame, the upper cover and the lower cover in combination providing a treatment space for heat treatment of a substrate; a heater provided in the treatment space for heating a substrate placed thereon; a heater cup configured to surround the heater; and a first purge gas supply unit for providing a first purge gas flow to block inflow of outer air through a gap between the lower frame and the heater cup.
    Type: Grant
    Filed: September 8, 2021
    Date of Patent: August 1, 2023
    Assignee: SEMES CO., LTD.
    Inventors: Jun Ho Kim, Sang Hoon Lee, Jong Seok Seo, Ho Jin Jang, Gyeong Won Song
  • Patent number: 11715653
    Abstract: A substrate processing apparatus includes a process chamber, a support part, disposed in the process chamber, having a substrate loading region in which a substrate is seated, a heating part disposed in a location opposing the substrate loading region to heat the substrate loading region, and a reflective member, disposed in a location opposing the substrate loading region in the process chamber, in which a sealed hollow portion is disposed.
    Type: Grant
    Filed: November 25, 2019
    Date of Patent: August 1, 2023
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Byeonghoon Kim, Byunghwan Kong, Seungyong Bae, Jaehyun An
  • Patent number: 11713505
    Abstract: A CVD reactor may include a susceptor, process chamber and heat dissipation body. In the CVD reactor, one or more layers can be deposited on one or more substrates. The susceptor is heated by a heating devices. Heat is transported from susceptor, through a process chamber towards the process chamber ceiling, through the process chamber ceiling, and from the process chamber ceiling through a gap space to the heat dissipation body. The temperature of the process chamber ceiling is measured at at least two different azimuth angle positions about a central axis of the process chamber. The radial distance of the respective measurement points or zones from the central axis of the process chamber may be equal to one another. The at least two temperature measurement values are used to produce an average value or a difference value.
    Type: Grant
    Filed: September 3, 2019
    Date of Patent: August 1, 2023
    Assignee: AIXTRON SE
    Inventor: Peter Sebald Lauffer