By Means To Heat Or Cool Patents (Class 118/724)
  • Patent number: 11397038
    Abstract: An apparatus comprises a chamber and two pumps coupled to opposing sides of the chamber. The chamber is configured to receive a medium and includes a first cryogenically cooled structure having a first surface and an opposing second surface and a second cryogenically cooled structure having a first surface and an opposing second surface. The first surface of the first cryogenically cooled structure faces the first surface of the second cryogenically cooled structure forming a gap. The gap is configured to receive the medium. The chamber also includes a gas inlet.
    Type: Grant
    Filed: July 18, 2017
    Date of Patent: July 26, 2022
    Assignee: Seagate Technology LLC
    Inventors: Samuel Lewis Tanaka, Thomas Larson Greenberg
  • Patent number: 11348819
    Abstract: An electrostatic chuck device includes: an electrostatic chuck part having, as a main surface, a mounting surface on which a plate-shaped sample is mounted, an electrostatic attraction electrode; a base part configured to cool the electrostatic chuck part; a heater disposed in a layered manner between the electrostatic chuck part and the base part; and an adhesion layer which bonds and integrates the electrostatic chuck part and the base part together, in which the electrostatic chuck part is provided with a first through-hole, the base part is provided with a second through-hole communicating with the first through-hole, the adhesion layer is provided with a third through-hole communicating with the first through-hole and the second through-hole, a tubular insulator is fixed in the second through-hole, and an end of the insulator located on the electrostatic chuck part side is separated from the electrostatic chuck part with a space interposed therebetween.
    Type: Grant
    Filed: December 11, 2018
    Date of Patent: May 31, 2022
    Assignee: SUMITOMO OSAKA CEMENT CO., LTD.
    Inventors: Yuuki Kinpara, Ryuuji Hayahara, Kazunori Ishimura, Hitoshi Kouno
  • Patent number: 11339472
    Abstract: A film deposition apparatus includes a process chamber, and a turntable in the process chamber to receive a substrate. An exhaust port is provided outside the turntable to evacuate the process chamber. An exhaust box is provided in a space between a ceiling surface of the process chamber and the surface of the turntable so as to surround a certain region along the circumferential direction and a radial direction by side walls so as to include a region upstream of the exhaust port in a rotational direction of the turntable. A gas supply unit to supply a gas into the exhaust box is provided. The exhaust box includes an outflow port in a side wall closest to the exhaust port such that a conductance of a gas flowing from the exhaust box increases with increasing distance from the exhaust port.
    Type: Grant
    Filed: May 7, 2020
    Date of Patent: May 24, 2022
    Assignee: Tokyo Electron Limited
    Inventor: Manabu Honma
  • Patent number: 11322337
    Abstract: A workpiece carrier is described for a plasma processing chamber that has isolated heater plate blocks. In one example, a plasma processing system has a plasma chamber, a plasma source electrically coupled with a showerhead included within the plasma chamber, a workpiece holder in a processing region of the plasma chamber having a puck to carry a workpiece, wherein the workpiece holder includes a heater plate having a plurality of thermally isolated blocks each thermally coupled to the puck, wherein each block includes a heater to heat a respective block of the heater plate, and wherein the workpiece holder includes a cooling plate fastened to and thermally coupled to the heater plate, the cooling plate defining a cooling channel configured to distribute a heat transfer fluid to transfer heat from the cooling plate, and a temperature controller to independently control each heater.
    Type: Grant
    Filed: May 12, 2017
    Date of Patent: May 3, 2022
    Assignee: Applied Materials, Inc.
    Inventors: Dmitry Lubomirsky, Son T. Nguyen, Anh N. Nguyen, David Palagashvili
  • Patent number: 11309204
    Abstract: According to one embodiment, an electrostatic chuck includes a ceramic dielectric substrate, a base plate, and a first porous part. The ceramic dielectric substrate has a first major surface and a second major surface. The base plate supports the ceramic dielectric substrate and includes a gas feed channel. The first porous part is provided between the base plate and the first major surface. The ceramic dielectric substrate includes a first hole part. The first porous part includes a porous section, and a first compact section being more compact than the porous section. As projected on a plane perpendicular to a first direction from the base plate to the ceramic dielectric substrate, the first compact section is configured to overlap the first hole part, and the porous section is configured not to overlap the first hole part.
    Type: Grant
    Filed: September 19, 2019
    Date of Patent: April 19, 2022
    Assignee: Toto Ltd.
    Inventors: Jun Shiraishi, Shuichiro Saigan, Tatsuya Mori, Masahiro Watanabe
  • Patent number: 11293091
    Abstract: A substrate processing apparatus including a chamber accommodating a substrate; a substrate support in the chamber, the substrate support supporting the substrate; a gas injector to inject an oxidizing gas for oxidizing a metal layer to be disposed on the substrate; a cooler under the substrate to cool the substrate; a target mount disposed on the substrate, the target mount including a target for performing a sputtering process; and a blocker between the target and the gas injector, the blocker shielding the target from the oxidizing gas injected from the gas injector.
    Type: Grant
    Filed: April 30, 2020
    Date of Patent: April 5, 2022
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Joon-Myoung Lee, Yong-Sung Park, Whan-Kyun Kim, Se-Chung Oh, Young-Man Jang
  • Patent number: 11295967
    Abstract: A sample holder includes: a ceramic body including a one main surface, and a sample holding surface on the one main surface; a heat-generating resistor disposed on an other main surface of the ceramic body; and a plurality of grooves arranged in a lattice on a surface of the heat-generating resistor, the plurality of grooves having extending directions that are different on different portions of the surface of the heat-generating resistor.
    Type: Grant
    Filed: May 28, 2018
    Date of Patent: April 5, 2022
    Assignee: KYOCERA Corporation
    Inventor: Kenichi Akabane
  • Patent number: 11276582
    Abstract: Apparatus for use in preparing heterostructures having a reduced concentration of defects including apparatus for stressing semiconductor substrates to allow them to conform to a crystal having a different crystal lattice constant.
    Type: Grant
    Filed: June 11, 2019
    Date of Patent: March 15, 2022
    Assignee: GlobalWafers Co., Ltd.
    Inventors: Robert J. Falster, Vladimir V. Voronkov, John A. Pitney, Peter D. Albrecht
  • Patent number: 11274061
    Abstract: The invention relates to a coating apparatus also called coating tunnel or coating hood for applying a protective coating to hollow glass containers. In particular it relates to a coating apparatus also called coating tunnel or coating hood with a guidance plate for the carrier gas comprising a coating compound for applying the protective coatings to glass containers. The present invention also relates to a coating apparatus also called coating tunnel or coating hood with a guidance plate installed between the inner side wall and the outer wall of the tunnel where the conveyer belt with the containers is passing by.
    Type: Grant
    Filed: April 14, 2017
    Date of Patent: March 15, 2022
    Assignee: Arkema B.V.
    Inventor: Bram Van Dis
  • Patent number: 11260432
    Abstract: Substrate supports, substrate support assemblies and methods of using an arc generated between a first electrode and a second electrode to clean a support surface. The first electrode comprises a plurality of first branches which are interdigitated with a plurality of branches of the second electrode in a finger-joint like pattern creating a gap between the first electrode and the second electrode.
    Type: Grant
    Filed: September 18, 2020
    Date of Patent: March 1, 2022
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Tejas Ulavi, Arkaprava Dan, Sanjeev Baluja, Wei V. Tang
  • Patent number: 11257862
    Abstract: A spin-transfer-torque magnetoresistive memory comprises apparatus and method of manufacturing a three terminal magnetoresistive memory element having highly conductive bottom electrodes overlaid on top of a SHE-metal layer in the regions outside of an MTJ stack. The memory cell comprises a bit line positioned adjacent to selected ones of the plurality of magnetoresistive memory elements to supply a reading current across the magnetoresistive element stack and two highly conductive bottom electrodes overlaid and electrically contacting on top of a SHE-metal layer in the outside of an MTJ region and to supply a bi-directional spin Hall effect recording current, and accordingly to switch the magnetization of the recording layer. Thus magnetization of a recording layer can be readily switched or reversed to the direction in accordance with a direction of a current along the SHE-metal layer by applying a low write current.
    Type: Grant
    Filed: January 30, 2015
    Date of Patent: February 22, 2022
    Inventor: Yimin Guo
  • Patent number: 11217433
    Abstract: Disclosed is a rotary union including an inner shaft, wherein the inner shaft is rotatable and includes an internal channel operable to deliver a cryogenic fluid to a platen. The rotary union may further include a rotary union shaft surrounding the inner shaft, and a seal assembly coupled to the rotary union shaft. The seal assembly may include a support, a metal bellows extending around an exterior of the support, and a seal support coupled to the metal bellows, wherein the seal support extends around the support. The seal assembly may further include a non-rotating seal component seated in the seal support, and a rotating seal component in abutment with the non-rotating seal component to create a mechanical seal therebetween.
    Type: Grant
    Filed: October 5, 2018
    Date of Patent: January 4, 2022
    Assignee: APPLIED Materials, Inc.
    Inventors: Robert J. Mitchell, Guillermo Colom
  • Patent number: 11217462
    Abstract: A workpiece holder includes a puck, first and second heating devices in thermal communication with respective inner and outer portions of the puck, and a thermal sink in thermal communication with the puck. The first and second heating devices are independently controllable, and the first and second heating devices are in greater thermal communication with the puck, than thermal communication of the thermal sink with the puck. A method of controlling temperature distribution of a workpiece includes flowing a heat exchange fluid through a thermal sink to establish a reference temperature to a puck, raising temperatures of radially inner and outer portions of the puck to first and second temperatures greater than the reference temperature, by activating respective first and second heating devices disposed in thermal communication with the radially inner and outer portions of the puck, and placing the workpiece on the puck.
    Type: Grant
    Filed: March 30, 2020
    Date of Patent: January 4, 2022
    Assignee: Applied Materials, Inc.
    Inventors: David Benjaminson, Dmitry Lubomirsky, Ananda Seelavanth Math, Saravanakumar Natarajan, Shubham Chourey
  • Patent number: 11211265
    Abstract: A heat treatment apparatus includes a processing container that accommodates a plurality of substrates, a gas supply unit that supplies a raw material gas into the processing container, an exhaust unit that exhausts the raw material gas in the processing container, and a heating unit that heats the plurality of substrates. The gas supply unit includes a gas supply pipe including: a first straight pipe portion that extends upward along a longitudinal direction of an inner wall surface of the processing container; a bent portion where a distal end side that extends above the first straight pipe portion is bent downward; a second straight pipe portion that extends downward from the bent portion; and a plurality of gas ejecting holes formed on the second straight pipe portion. The first straight pipe portion has a larger cross-sectional area than the second straight pipe portion.
    Type: Grant
    Filed: April 10, 2019
    Date of Patent: December 28, 2021
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Satoshi Takagi, Hiroyuki Hayashi, Hsiulin Tsai
  • Patent number: 11164762
    Abstract: An ultraviolet (UV) irradiation apparatus includes: a base module configured to support a wafer including a plurality of semiconductor chips, wherein the plurality of semiconductor chips are connected with each other via a die attach film (DAF); a vacuum module configured to provide the wafer with a vacuum and to remove air from the DAF; a UV irradiation module configured to irradiate UV to the wafer in the vacuum module; and an expanding module configured to expand the wafer in the vacuum module.
    Type: Grant
    Filed: April 3, 2020
    Date of Patent: November 2, 2021
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventor: Hojae Kim
  • Patent number: 11133206
    Abstract: A method for marking a semiconductor substrate at the die level for providing unique authentication and serialization includes projecting a first pattern of actinic radiation onto a layer of photoresist on the substrate using mask-based photolithography, the first pattern defining semiconductor device structures and projecting a second pattern of actinic radiation onto the layer of photoresist using direct-write projection, the second pattern defining a unique wiring structure having a unique electrical signature.
    Type: Grant
    Filed: July 31, 2019
    Date of Patent: September 28, 2021
    Assignee: Tokyo Electron Limited
    Inventors: H. Jim Fulford, Anthony Schepis, Anton J. deVilliers
  • Patent number: 11101164
    Abstract: A substrate processing system includes a first chamber including a substrate support. A showerhead is arranged above the first chamber and is configured to filter ions and deliver radicals from a plasma source to the first chamber. The showerhead includes a heat transfer fluid plenum, a secondary gas plenum including an inlet to receive secondary gas and a plurality of secondary gas injectors to inject the secondary gas into the first chamber, and a plurality of through holes passing through the showerhead. The through holes are not in fluid communication with the heat transfer fluid plenum or the secondary gas plenum.
    Type: Grant
    Filed: March 16, 2020
    Date of Patent: August 24, 2021
    Assignee: LAM RESEARCH CORPORATION
    Inventors: Rachel Batzer, Huatan Qiu, Bhadri Varadarajan, Patrick Girard Breiling, Bo Gong, Will Schlosser, Zhe Gui, Taide Tan, Geoffrey Hohn
  • Patent number: 11091835
    Abstract: Implementations of the present disclosure provide apparatus and method for improving gas distribution during thermal processing. One implementation of the present disclosure provides an apparatus for processing a substrate comprising a chamber body defining a processing volume, a substrate support disposed in the processing volume, wherein the substrate support has a substrate supporting surface, a gas source assembly coupled to an inlet of the chamber body, an exhaust assembly coupled to an outlet of the chamber body, and a side gas assembly coupled to a sidewall of the chamber body, wherein the side gas assembly comprises a gas inlet pointed in a direction that is tangential to the edge of the substrate supporting surface, and wherein the gas inlet, the inlet of the chamber body, and the outlet of the chamber body are angularly offset at about 90° with respect to each other, and the gas inlet, the inlet of the chamber body, and the outlet of the chamber body are intersected by a common plane.
    Type: Grant
    Filed: April 15, 2019
    Date of Patent: August 17, 2021
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Agus Sofian Tjandra, Martin John Ripley
  • Patent number: 11059061
    Abstract: Ampoules for a semiconductor manufacturing precursors and methods of use are described. The ampoules include a container with an inlet port and an outlet port. The inlet port has a showerhead that the end within the container. The showerhead has at least two angled nozzles to direct the flow of gas within the cavity so that the gas flow is not perpendicular to the surface of a liquid within the ampoule.
    Type: Grant
    Filed: March 2, 2018
    Date of Patent: July 13, 2021
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Kenric Choi, Xiaoxiong Yuan, Daping Yao, Mei Chang
  • Patent number: 11060155
    Abstract: A cemented carbide body includes WC in a metallic binder phase. The cemented carbide body has a bulk portion and a surface portion. The grain size of the WC in the surface portion is smaller than the grain size in the bulk portion of the body and this gives an increased surface hardness and an increased wear resistance. The median grain thickness, tg, of WC in the surface portion is 20-300 nm and the average grain size in the bulk portion is 0.5-8 ?m. A method of surface hardening a cemented carbide body is also provided.
    Type: Grant
    Filed: March 30, 2017
    Date of Patent: July 13, 2021
    Assignee: PRAMET TOOLS, S.R.O.
    Inventor: Petr Flasar
  • Patent number: 11056843
    Abstract: Embodiments of an electrical plug may include an electrical circuit having an input-side interface with at least one input-side contact point for connecting at least one signal conductor of at least one electrical lead. In some embodiments the electrical circuit has an output-side interface with at least one output-side contact point. The electrical circuit may have a transmission option from the input-side interface to the output-side interface for controlling impedance, and the design of the input-side interface in some embodiments may differ from the design of the output-side interface.
    Type: Grant
    Filed: April 3, 2018
    Date of Patent: July 6, 2021
    Inventors: Johannes Winkler, Johannes Schmid, Gunnar Armbrecht, Rainer Bippus
  • Patent number: 11043402
    Abstract: There is provided a cooling unit, comprising: an intake pipe provided for each of a plurality of zones and configured to supply a gas for cooling a reaction tube; a control valve provided in the intake pipe and configured to adjust a flow rate of the gas; a buffer part configured to temporarily store the gas supplied from the intake pipe; and openings provided so as to blow the gas stored in the buffer part toward the reaction tube, wherein the flow rate of the gas introduced into the intake pipe is set according to vertical length ratios of the zones such that the flow rate and a flow velocity of the gas injected from the openings toward the reaction tube are adjusted by opening and closing the control valve.
    Type: Grant
    Filed: September 11, 2018
    Date of Patent: June 22, 2021
    Assignee: KOKUSAI ELECTRIC CORPORATION
    Inventors: Tetsuya Kosugi, Hitoshi Murata, Masaaki Ueno
  • Patent number: 11043355
    Abstract: An ion milling apparatus includes a sample holder, a vacuum chamber, an evacuation section, a vacuum gauge, a heater, a gas inlet assembly, and a control section. The evacuation section vents gas in the interior space of the vacuum chamber. The vacuum gauge measures the pressure in the interior space of the vacuum chamber. The heater heats the sample holder. The gas inlet assembly admits a dry gas containing no moisture into the interior space of the vacuum chamber. When the pressure in the interior space has reached below a given pressure, the control section controls the gas inlet assembly based on information about the pressure in the interior space so as to admit the dry gas into the vacuum chamber.
    Type: Grant
    Filed: December 3, 2019
    Date of Patent: June 22, 2021
    Assignee: JEOL LTD.
    Inventor: Tsutomu Negishi
  • Patent number: 11037811
    Abstract: An electrostatic chuck includes, a chuck function portion including a plurality of chuck regions on which an attractable object is placed respectively, and a concave surface portion provided in an outer region of the chuck regions, and electrodes arranged in an inner part of the chuck function portion corresponding to the chuck regions and an inner part of the chuck function portion corresponding to the concave surface portion, respectively.
    Type: Grant
    Filed: July 12, 2012
    Date of Patent: June 15, 2021
    Assignee: SHINKO ELECTRIC INDUSTRIES CO., LTD.
    Inventor: Norio Shiraiwa
  • Patent number: 11031270
    Abstract: A substrate processing apparatus, includes: a substrate holder including at least one support column to which a mounting part on which a substrate is mounted is attached and at least one auxiliary support column to which the mounting part is not attached, wherein the substrate holder is configured such that a diameter of the auxiliary support column is smaller than a diameter of the support column, and wherein the substrate holder is configured such that when the substrate is held by the mounting part, an end portion of the substrate and each of the support column is spaced apart from each other by a predetermined length.
    Type: Grant
    Filed: August 10, 2018
    Date of Patent: June 8, 2021
    Assignee: KOKUSAI ELECTRIC CORPORATION
    Inventors: Atsushi Hirano, Yuji Takebayashi, Yukinao Kaga, Masanori Sakai, Masakazu Shimada
  • Patent number: 11020760
    Abstract: A substrate processing apparatus includes: a process chamber accommodating substrates; a heating system for heating the process chamber to a predetermined temperature; a precursor gas supply system including a precursor gas nozzle and for supplying a precursor gas from the precursor gas nozzle to the process chamber; a reaction gas supply system configured to supply a reaction gas reacting with the precursor gas in the process chamber; and a control part configured to control the heating system, the precursor gas supply system and the reaction gas supply system to form a film on each of the plurality of substrates by performing a process, while heating the process chamber accommodating the plurality of substrates to the predetermined temperature. The process includes supplying the precursor gas from the precursor gas nozzle to the process chamber and supplying the reaction gas to the process chamber.
    Type: Grant
    Filed: February 14, 2017
    Date of Patent: June 1, 2021
    Assignee: KOKUSAI ELECTRIC CORPORATION
    Inventors: Toshiki Fujino, Yuma Fujii, Kazuki Nonomura, Yoshinori Baba, Yuji Takebayashi, Kenichi Suzaki
  • Patent number: 10978333
    Abstract: In an embodiment, a robotic arm includes: a base; at least one link secured to the base; a gripper secured to the at least one link, wherein: the gripper comprises a finger, the gripper is configured to secure a wafer while the at least one link is in motion, and the gripper is configured to release the wafer while the at least one link is stopped, a sensor disposed on the finger, the sensor configured to collect sensor data characterizing the robotic arm's interaction with a semiconductor processing chamber while the wafer is secured using the finger.
    Type: Grant
    Filed: January 30, 2018
    Date of Patent: April 13, 2021
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Yan-Hong Liu, Ming-Feng Chen, Che-fu Chen, Hung-Wen Chen
  • Patent number: 10961621
    Abstract: A CVD reactor for single sided deposition of material on substrates, may comprise: an upper gas manifold and a lower gas manifold; a substrate carrier comprising a gas tight rectangular box open on upper and lower surfaces, a multiplicity of planar walls across the width of the box, the walls being equally spaced in a row facing each other and defining a row of channels within the box, the walls comprising mounting fixtures for a plurality of substrates and at least one electrically resistive heater element; and clamps within the vacuum chamber for making electrical contact to the at least one electrically resistive heater element; wherein the upper gas manifold and the lower gas manifold are configured to attach to the upper and lower surfaces of the substrate carrier, respectively, connect with upper and lower ends of the channels, and isolate gas flows in odd numbered channels from gas flows in even numbered channels, wherein the channels are numbered in order along the row, and wherein the gas flows compr
    Type: Grant
    Filed: June 6, 2016
    Date of Patent: March 30, 2021
    Assignee: Svagos Technik, Inc.
    Inventors: Visweswaren Sivaramakrishnan, Tirunelveli S. Ravi, Timothy N. Kleiner, Quoc Truong
  • Patent number: 10948353
    Abstract: Examples described herein generally relate to apparatus and methods for rapid thermal processing (RTP) of a substrate. In one or more embodiments, a process chamber includes chamber body, a window disposed on a first portion of the chamber body, a chamber bottom, and a shield disposed on a second portion of the chamber body. The shield has a flat surface facing the window to reduce reflected radiant energy to a back side of a substrate disposed in the process chamber during operation. The process chamber further includes an edge support for supporting the substrate and a cooling member disposed on the chamber bottom. The cooling member is disposed in proximity of the edge support to cool the edge support during low temperature operation in order to improve the temperature uniformity of the substrate.
    Type: Grant
    Filed: January 14, 2020
    Date of Patent: March 16, 2021
    Assignee: Applied Materials, Inc.
    Inventors: Lara Hawrylchak, Samuel C. Howells, Wolfgang R. Aderhold, Leonid M. Tertitski, Michael Liu, Dongming Iu, Norman L. Tam, Ji-Dih Hu
  • Patent number: 10930494
    Abstract: Vapor phase transport systems and methods of depositing perovskite films are described. In an embodiment, a deposition method includes feeding a perovskite solution or constituent powder to a vaporizer, followed by vaporization and depositing the constituent vapor as a perovskite film. In an embodiment, a deposition system and method includes vaporizing different perovskite precursors in different vaporization zones at different temperatures, followed by mixing the vaporized precursors to form a constituent vapor, and depositing the constituent vapor as a perovskite film.
    Type: Grant
    Filed: April 7, 2020
    Date of Patent: February 23, 2021
    Assignee: SWIFT SOLAR INC.
    Inventors: Kevin Alexander Bush, Maximilian Tobias Hoerantner, Tomas Leijtens
  • Patent number: 10916452
    Abstract: A wafer drying equipment includes a base, a casing and a microwave generator. The base is configured to support a wafer. The casing forms a chamber with the base. The chamber is configured to accommodate the wafer. The casing has an exhaust vent away from the base. The microwave generator is disposed on the casing and is configured to emit a microwave to the chamber.
    Type: Grant
    Filed: December 25, 2018
    Date of Patent: February 9, 2021
    Assignee: NANYA TECHNOLOGY CORPORATION
    Inventors: Feng-Ju Tsai, Shyue-Ru Doong
  • Patent number: 10895011
    Abstract: A modular thin film deposition system, includes a machine base, a deposition head for depositing a thin film of material onto a process surface of a substrate, a motion actuator including a fixed portion and a moveable portion, and one or more interchangeable substrate positioner modules adapted to mount on the moveable portion of the motion actuator. The interchangeable substrate positioner modules include kinematic mounting features that engage with corresponding kinematic mounting features on the moveable portion of the motion actuator. The motion actuator moves the interchangeable substrate positioner in a motion direction, thereby moving the substrate in an in-track direction in a plane parallel to the output face of the deposition head during deposition of the thin film of material onto the process surface of the substrate.
    Type: Grant
    Filed: March 14, 2017
    Date of Patent: January 19, 2021
    Assignee: EASTMAN KODAK COMPANY
    Inventors: Todd Mathew Spath, Carolyn Rae Ellinger, Shelby Forrester Nelson, Lee William Tutt
  • Patent number: 10879046
    Abstract: Embodiments disclosed herein include a substrate support having a sensor assembly, and processing chamber having the same. In one embodiment, a substrate support has a puck. The puck has a workpiece support surface and a gas hole exiting the workpiece support surface. A sensor assembly is disposed in the gas hole and configured to detect a metric indicative of a deflection of a workpiece disposed on the workpiece support surface, wherein the sensor assembly is configured to allow gas to flow past the sensor assembly when positioned in the gas hole.
    Type: Grant
    Filed: September 11, 2015
    Date of Patent: December 29, 2020
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Wendell Glen Boyd, Jr., Govinda Raj, Matthew James Busche
  • Patent number: 10879054
    Abstract: A processing apparatus is provided. The processing apparatus includes a wafer processing chamber. The processing apparatus further includes a pump configured to evacuate the wafer processing chamber. The pump includes an inlet port located on a lower boundary plane. The processing apparatus also includes an exhaust conduit placed in fluid communication with the gas outlet of the wafer processing chamber and the inlet port of the pump. The exhaust conduit includes a sacrificial tube structure. The sacrificial tube structure is arranged in such a way that a projection of the sacrificial tube structure on the lower boundary plane overlaps the inlet port of the pump.
    Type: Grant
    Filed: April 27, 2018
    Date of Patent: December 29, 2020
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Shian-Hung Su, Chen-Yung Wang, Jia-Ming Lee
  • Patent number: 10872747
    Abstract: A showerhead for a plasma chamber comprises a resistive heater configured to receive power to heat the showerhead of the plasma chamber, and a resistive element thermally bonded to the showerhead of the plasma chamber. The resistive element changes resistance in response to a change in temperature of the showerhead. The resistive element is encapsulated in an insulating material to electrically insulate the resistive element from the showerhead. The insulating material is a good conductor of heat. The power to the resistive heater is received based on the resistance of the resistive element.
    Type: Grant
    Filed: August 8, 2018
    Date of Patent: December 22, 2020
    Assignee: LAM RESEARCH CORPORATION
    Inventors: Nick Ray Linebarger, Jr., Curtis W. Bailey, Easwar Srinivasan, Devon Pelkey
  • Patent number: 10861680
    Abstract: A wafer support of the present invention includes shield sheet embedded in the ceramic base between the plasma generation electrode and the heater electrode in a state not contacting both the electrodes; and a shield pipe electrically connected to the shield sheet and laid to extend to outside of the ceramic base from the surface of the ceramic base on the side opposite to the wafer placement surface, wherein the wiring member for the plasma generation electrode is inserted through inside of the shield pipe in a state not contacting the shield pipe, and the wiring member for the heater electrode is disposed outside the shield pipe in a state not contacting the shield pipe.
    Type: Grant
    Filed: January 24, 2018
    Date of Patent: December 8, 2020
    Assignee: NGK Insulators, Ltd.
    Inventors: Daiki Maeda, Yutaka Unno
  • Patent number: 10854492
    Abstract: An edge ring assembly is provided, including: an upper edge ring configured to surround an electrostatic chuck (ESC), the ESC having a top surface for supporting a substrate and an annular step surrounding the top surface, the annular step defining an annular shelf that is lower than the top surface, the upper edge ring being disposed above the annular shelf; a lower inner edge ring disposed below the upper edge ring in the annular step and disposed over the annular shelf, the lower inner edge ring being defined from an electrically conductive material, the lower inner edge ring being electrically insulated from the ESC; a lower outer edge ring surrounding the inner edge ring, the lower outer edge ring being disposed below the upper edge ring in the annular step and disposed over the annular shelf, the lower outer edge ring being defined from an electrically insulating material.
    Type: Grant
    Filed: July 8, 2016
    Date of Patent: December 1, 2020
    Assignee: Lam Research Corporation
    Inventors: William Frederick Bosch, Rajesh Dorai, Tamarak Pandhumsoporn, Brett C. Richardson, James C. Vetter, Patrick Chung
  • Patent number: 10844482
    Abstract: A film forming apparatus according to an embodiment includes a reaction chamber; a pump; a storage container storing a discharged liquid; a first pipe having first and second end portions, the first end portion being connected to the reaction chamber, the first pipe extending in a first direction; a second pipe between the first pipe and the pump, having third and fourth end portions, extending in a second direction different from the first direction, the fourth end portion being connected to the pump; and a third pipe between the first pipe and the storage container, having fifth and sixth end portions, extending in a third direction different from the second direction, the fifth end portion being located on an imaginary straight line extending in the first direction from a center of the second end portion and the sixth end portion being connected to the storage container.
    Type: Grant
    Filed: August 3, 2018
    Date of Patent: November 24, 2020
    Assignees: Kabushiki Kaisha Toshiba, Toshiba Electronic Devices & Storage Corporation
    Inventor: Shinya Sato
  • Patent number: 10832903
    Abstract: Embodiments related to managing the process feed conditions for a semiconductor process module are provided. In one example, a gas channel plate for a semiconductor process module is provided. The example gas channel plate includes a heat exchange surface including a plurality of heat exchange structures separated from one another by intervening gaps. The example gas channel plate also includes a heat exchange fluid director plate support surface for supporting a heat exchange fluid director plate above the plurality of heat exchange structures so that at least a portion of the plurality of heat exchange structures are spaced from the heat exchange fluid director plate.
    Type: Grant
    Filed: January 3, 2018
    Date of Patent: November 10, 2020
    Assignee: ASM IP Holding B.V.
    Inventors: Fred Pettinger, Carl White, Dave Marquardt, Sokol Ibrani, Eric Shero, Todd Dunn, Kyle Fondurulia, Mike Halpin
  • Patent number: 10819054
    Abstract: An electrical terminal is disclosed. The electrical terminal has a contact made of a first kind of metal and a coupling member made of a second kind of metal fixed to the contact. The coupling member has a crimping portion crimped to press the first contact against an electrical wire made of the first kind of metal.
    Type: Grant
    Filed: August 2, 2016
    Date of Patent: October 27, 2020
    Assignee: Tyco Electronics Japan G.K.
    Inventors: Keita Terajima, Masaaki Harasawa
  • Patent number: 10790124
    Abstract: A method includes forming a coating layer in a dry etching chamber, placing a wafer into the dry etching chamber, etching a metal-containing layer of the wafer, and moving the wafer out of the dry etching chamber. After the wafer is moved out of the dry etching chamber, the coating layer is removed.
    Type: Grant
    Filed: April 2, 2018
    Date of Patent: September 29, 2020
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Yu Chao Lin, Yuan-Ming Chiu, Ming-Ching Chang, Hsin-Yi Tsai, Chao-Cheng Chen
  • Patent number: 10782262
    Abstract: An apparatus comprising a heating unit and a support device is disclosed which apparatus is designed to place a controlled amount of heat into a very localized area of a substrate of interest. The substrate of interest here is intended to be a portion of a large structure [such as the portion of a ship]. The heating unit comprises a heat source and the necessary structure to closely control the heat applied to the substrate of interest. The support device supports the heating unit directly over the substrate of interest and permits the system to be secured to one surface of the substrate of interest in a removable and non-destructive manner. The support device has legs which have securing means on the bottom thereof to secure the system to one surface of the substrate of interest in a releasable and non-destructible way.
    Type: Grant
    Filed: July 10, 2017
    Date of Patent: September 22, 2020
    Assignee: ElectraWatch, Inc.
    Inventors: Ryan C. Dunn, Donald A. Tubbs
  • Patent number: 10760180
    Abstract: A polycrystalline silicon ingot having a value of Te?Ts, ?T, of 50° C. or less, wherein Ts and Te are the onset temperature and the completion temperature of melting, respectively, when the temperature is increased at a rate of 60° C./minute or less in the temperature range of 1400° C. or more is used as the production raw material for single crystal silicon. The present invention provides a polycrystalline silicon ingot or polycrystalline silicon rod suitable for stably producing single crystal silicon.
    Type: Grant
    Filed: October 17, 2017
    Date of Patent: September 1, 2020
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Shuichi Miyao, Shigeyoshi Netsu, Naruhiro Hoshino, Tetsuro Okada
  • Patent number: 10731252
    Abstract: An apparatus for coating specimens includes a reaction chamber and a plurality of reaction modules in the reaction chamber for containing specimens to be coated, where each reaction module includes a module inlet and a module outlet. A plurality of conduits are configured to be in fluid communication with at least one gas source external to the reaction chamber, and each of the conduits terminates in one of the reaction modules for delivery of gaseous reagents to the specimens to be coated. The module outlets are in fluid communication with the reaction chamber for expulsion of gaseous reaction products from the reaction modules.
    Type: Grant
    Filed: May 25, 2018
    Date of Patent: August 4, 2020
    Assignee: ROLLS-ROYCE HIGH TEMPERATURE COMPOSITES
    Inventor: Stephen Harris
  • Patent number: 10720343
    Abstract: An apparatus for processing wafer-shaped articles comprises a rotary chuck and a heating assembly that faces a wafer-shaped article when positioned on the rotary chuck. A liquid dispenser positioned so as to dispense liquid onto a surface of a wafer-shaped article that faces away from the rotary chuck when positioned on the rotary chuck. The heating assembly comprises an array of radiant heating elements distributed among at least five individually controllable groups. The liquid dispenser comprises one or more dispensing orifices configured to move a discharge point from a more central region of the rotary chuck to a more peripheral region of the rotary chuck. A controller controls power supplied to each of the at least five individually controllable groups of radiant heating elements based on a position of the discharge point of the liquid dispenser.
    Type: Grant
    Filed: May 31, 2016
    Date of Patent: July 21, 2020
    Assignee: Lam Research AG
    Inventors: David Mui, Butch Berney, Alois Goller, Mike Ravkin
  • Patent number: 10713772
    Abstract: Disclosed is a measurement processing device including: a processing unit configured to control an imaging device to image a substrate, on which a processing film is removed from the peripheral edge portion, and an enclosure member that surrounds the substrate. A captured image obtained by the imaging device is processed to measure a cut width in which the processing film is absent in the peripheral edge portion of the substrate, and a gap width between a peripheral edge end of the substrate and the enclosure member.
    Type: Grant
    Filed: November 24, 2015
    Date of Patent: July 14, 2020
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Yoshifumi Amano, Yuki Ito, Eiichiro Okamoto, Kazuya Iwanaga, Ryoji Ikebe
  • Patent number: 10704143
    Abstract: Examples of a oxide film forming method include providing a precursor to a reaction space including a substrate and a susceptor, and forming an oxide film on the substrate by introducing at least one of CxOy and NxOy (x and y are integers) as a reactant gas into the reaction space while applying a pulse RF power having a duty cycle less than 60% to an RF plate to generate plasma of the reactant gas, the RF plate being provided in the reaction space so as to face the susceptor, wherein the providing and the forming are repeated a predetermined number of times.
    Type: Grant
    Filed: January 25, 2019
    Date of Patent: July 7, 2020
    Assignee: ASM IP Holding B.V.
    Inventors: Takafumi Hisamitsu, Seiji Okura
  • Patent number: 10689760
    Abstract: An apparatus for processing a flexible substrate is provided including a vacuum chamber having a first chamber portion, second chamber portion and third chamber portion. The apparatus further includes an unwinding shaft supporting the flexible substrate to be processed and a winding shaft supporting the flexible substrate after processing, wherein the unwinding shaft and the winding shaft are disposed in the first chamber portion, a first wall separating the first chamber portion from the second chamber portion, wherein the first wall is inclined with respect to a vertical and horizontal orientation, a coating drum having a first portion disposed in the second chamber portion and a second portion disposed in the third chamber portion, and a plurality of processing stations disposed at least partially in the third chamber portion, wherein a majority of the plurality of the processing stations are disposed below a rotational axis of the coating drum.
    Type: Grant
    Filed: November 14, 2016
    Date of Patent: June 23, 2020
    Assignee: Applied Materials, Inc.
    Inventors: Jose Manuel Dieguez-Campo, Heike Landgraf, Tobias Stolley, Stefan Hein, Florian Ries, Morrison Neil
  • Patent number: 10679871
    Abstract: An apparatus for processing wafer-shaped articles comprises a rotary chuck and a heating assembly that faces a wafer-shaped article when positioned on the rotary chuck. A liquid dispenser positioned so as to dispense liquid onto a surface of a wafer-shaped article that faces away from the rotary chuck when positioned on the rotary chuck. The heating assembly comprises an array of radiant heating elements distributed among at least five individually controllable groups. The liquid dispenser comprises one or more dispensing orifices configured to move a discharge point from a more central region of the rotary chuck to a more peripheral region of the rotary chuck. A controller controls power supplied to each of the at least five individually controllable groups of radiant heating elements based on a position of the discharge point of the liquid dispenser.
    Type: Grant
    Filed: May 31, 2016
    Date of Patent: June 9, 2020
    Assignee: Lam Research AG
    Inventors: David Mui, Butch Berney, Alois Goller, Mike Ravkin
  • Patent number: 10672641
    Abstract: A support member for a thermal processing chamber is described. The support member has a sol coating on at least one surface. The sol coating contains a material that blocks a desired wavelength or spectrum of radiation from being transmitted by the material of the support member. The sol coating may be a multi-layer structure that may include adhesion layers, transition layers, and cap layers, in addition to radiation-blocking layers.
    Type: Grant
    Filed: March 26, 2018
    Date of Patent: June 2, 2020
    Assignee: APPLIED MATERIALS, INC.
    Inventor: Joseph M. Ranish