Plasma Cleaning Patents (Class 134/1.1)
  • Patent number: 10196738
    Abstract: Provided are a deposition process monitoring system capable of detecting an internal state of a chamber in a deposition process, and a method of controlling the deposition process and a method of fabricating a semiconductor device using the system.
    Type: Grant
    Filed: January 16, 2017
    Date of Patent: February 5, 2019
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Chang-yun Lee, Ju-hyun Lee, Kee-soo Park, Kyu-hee Han, Seung-hun Lee, Byung-chul Jeon
  • Patent number: 10194672
    Abstract: A method of treating a product or surface with a reactive gas, comprises producing the reactive gas by forming a high-voltage cold plasma (HVCP) from a working gas; transporting the reactive gas at least 5 cm away from the HVCP; followed by contacting the product or surface with the reactive gas. The HVCP does not contact the product or surface.
    Type: Grant
    Filed: October 23, 2015
    Date of Patent: February 5, 2019
    Assignee: Nanoguard Technologies, LLC
    Inventors: Kevin M. Keener, Mark A. Hochwalt
  • Patent number: 10191215
    Abstract: A waveguide fabrication method including the steps of providing a substrate including at least one waveguide recess structure and a stress release recess structure for receiving a waveguide material, and depositing the waveguide material onto the substrate and into both the waveguide recess structure and the stress release recess structure.
    Type: Grant
    Filed: May 4, 2016
    Date of Patent: January 29, 2019
    Assignee: ECOLE POLYTECHNIQUE FEDERALE DE LAUSANNE (EPFL)
    Inventors: Tobias Kippenberg, Martin Hubert Peter Pfeiffer, Arne Kordts
  • Patent number: 10192717
    Abstract: Embodiments of the present disclosure generally relate to methods for conditioning an interior wall surface of a remote plasma generator. In one embodiment, a method for processing a substrate is provided. The method includes exposing an interior wall surface of a remote plasma source to a conditioning gas that is in excited state to passivate the interior wall surface of the remote plasma source, wherein the remote plasma source is coupled through a conduit to a processing chamber in which a substrate is disposed, and the conditioning gas comprises an oxygen-containing gas, a nitrogen-containing gas, or a combination thereof. The method has been observed to be able to improve dissociation/recombination rate and plasma coupling efficiency in the processing chamber, and therefore provides repeatable and stable plasma source performance from wafer to wafer.
    Type: Grant
    Filed: April 23, 2015
    Date of Patent: January 29, 2019
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Abdul Aziz Khaja, Mohamad Ayoub, Jay D. Pinson, II, Juan Carlos Rocha-Alvarez
  • Patent number: 10176972
    Abstract: A plasma etching apparatus includes: a vacuum chamber; a rotatable electrostatic chuck table for holding a workpiece in the vacuum chamber; a nozzle for supplying a plasma etching gas to part of the workpiece held on the electrostatic chuck table; a nozzle oscillating unit for oscillating the nozzle in such a manner as to describe a horizontal arcuate locus between a region corresponding to the center of the electrostatic chuck table and a region corresponding to the outer periphery of the electrostatic chuck table; and a control unit that controls the rotation amount of the electrostatic chuck table and the position of the nozzle to thereby position the nozzle into a region corresponding to an arbitrary part of the workpiece held on the electrostatic chuck table.
    Type: Grant
    Filed: May 10, 2016
    Date of Patent: January 8, 2019
    Assignee: DISCO Corporation
    Inventors: Yoshio Watanabe, Siry Milan, Hiroyuki Takahashi, Takeshi Seki
  • Patent number: 10170284
    Abstract: A plasma processing method according to an aspect includes: preparing a plasma processing apparatus including: a chamber; a lower electrode; an upper electrode; a focus ring surrounding a peripheral edge of the lower electrode; and an annular coil disposed on an upper portion of the upper electrode at a more outer position than the peripheral edge of the lower electrode; placing a substrate on the lower electrode, with a peripheral edge of the substrate surrounded by the focus ring; introducing process gas into the chamber; generating plasma of the process gas by applying high-frequency power across the upper electrode and the lower electrode; and leveling an interface of a plasma sheath on an upper portion of the substrate with that on an upper portion of the focus ring by generating a magnetic field by supplying a current to the annular coil.
    Type: Grant
    Filed: September 14, 2016
    Date of Patent: January 1, 2019
    Assignees: TOKYO ELECTRON LIMITED, KABUSHIKI KAISHA TOSHIBA
    Inventors: Kenta Yasuda, Toru Kubota, Takashi Kondo, Katsuhiro Ishida
  • Patent number: 10144040
    Abstract: A plasma processing apparatus includes a slot plate of an antenna and the slot plate has slots arranged in a circumferential direction thereof with respect to an axis line. A microwave is introduced into a processing space from the antenna via a dielectric window, and a through hole is formed in the dielectric window along the axis line. A plasma processing method performed in the plasma processing apparatus includes performing a first cleaning process by radiating the microwave from the antenna and supplying a cleaning gas from a cleaning gas supply system; and performing a second cleaning process by radiating the microwave from the antenna and supplying the cleaning gas from the cleaning gas supply system. A first pressure of the processing space in the performing of the first cleaning process is set to be lower than a second pressure thereof in the performing of the second cleaning process.
    Type: Grant
    Filed: October 17, 2012
    Date of Patent: December 4, 2018
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Wataru Yoshikawa, Naoki Matsumoto
  • Patent number: 10147587
    Abstract: A system and method for a waferless cleaning method for a capacitive coupled plasma system. The method includes forming a protective layer on a top surface of an electrostatic chuck, volatilizing etch byproducts deposited on one or more inner surfaces of the plasma process chamber, removing volatilized etch byproducts from the plasma process chamber and removing the protective layer from the top surface of the electrostatic chuck. A capacitive coupled plasma system including a waferless cleaning recipe is also described.
    Type: Grant
    Filed: September 14, 2017
    Date of Patent: December 4, 2018
    Assignee: Lam Research Corporation
    Inventors: Shijian Li, David Carman, Chander Radhakrishnan
  • Patent number: 10134559
    Abstract: In one embodiment, a method of cleaning an electron source included in an electron gun for an electron beam writing apparatus includes supplying an inert gas to an electron gun chamber, allowing the electron source to emit electrons, ionizing the inert gas with the electrons to produce ions, and removing contaminants deposited on the electron source by bombardment with the ions, and cutting off the supply of the inert gas based on a change in electron beam emission characteristic of the electron gun.
    Type: Grant
    Filed: July 15, 2016
    Date of Patent: November 20, 2018
    Assignee: NuFlare Technology, Inc.
    Inventor: Nobuo Miyamoto
  • Patent number: 10096462
    Abstract: A substrate processing method and apparatus for preventing evaporation of an anti-drying fluorine-containing organic solvent from a substrate during transportation of the substrate into a processing container and can prevent decomposition of a fluorine-containing organic solvent in the processing container. A substrate, the surface of which is covered with a first fluorine-containing organic solvent, is carried into a processing container. The first fluorine-containing organic solvent is removed from the substrate surface by forming a high-pressure fluid atmosphere of a mixture of the first fluorine-containing organic solvent and a second fluorine-containing organic solvent, having a lower boiling point than the first fluorine-containing organic solvent, in the processing container e.g. by supplying a high-pressure fluid of the second fluorine-containing organic solvent into the processing container.
    Type: Grant
    Filed: July 15, 2013
    Date of Patent: October 9, 2018
    Assignees: Toshiba Memory Corporation, Tokyo Electron Limited
    Inventors: Hidekazu Hayashi, Yohei Sato, Hisashi Okuchi, Hiroshi Tomita, Kazuyuki Mitsuoka, Gen You, Hiroki Ohno, Takehiko Orii, Takayuki Toshima
  • Patent number: 10083830
    Abstract: It was found out that when radicals generated by plasma are fed to a treatment chamber via a plurality of holes (111) formed on a partition plate which separates a plasma-forming chamber (108) from the treatment chamber, and the radicals are mixed with a treatment gas which is separately fed to the treatment chamber, the excitation energy of the radicals is suppressed and thereby the substrate surface treatment at high Si-selectivity becomes possible, which makes it possible to conduct the surface treatment of removing native oxide film and organic matter without deteriorating the flatness of the substrate surface. The radicals in the plasma are fed to the treatment chamber via radical-passing holes (111) of a plasma-confinement electrode plate (110) for plasma separation, the treatment gas is fed to the treatment chamber (121) to be mixed with the radicals in the treatment chamber, and then the substrate surface is cleaned by the mixed atmosphere of the radicals and the treatment gas.
    Type: Grant
    Filed: May 23, 2016
    Date of Patent: September 25, 2018
    Assignee: CANON ANELVA CORPORATION
    Inventors: Takuya Seino, Manabu Ikemoto, Kimiko Mashimo
  • Patent number: 10066293
    Abstract: A method of operating a filament assisted chemical vapor deposition (FACVD) system. The method includes depositing a film on a substrate in a reactor of the FACVD system. During the depositing, a DC power is supplied to a heater assembly to thermally decompose a film forming material. The method also includes cleaning the heater assembly, or an interior surface of the reactor, or both. During the cleaning, an alternating current is supplied to the heater assembly to energize a cleaning media into a plasma.
    Type: Grant
    Filed: October 28, 2014
    Date of Patent: September 4, 2018
    Assignee: Tokyo Electron Limited
    Inventors: Jozef Brcka, Osayuki Akiyama
  • Patent number: 10056236
    Abstract: A plasma processing method for plasma-etching a sample in a metallic processing chamber includes etching the sample with a plasma; plasma-cleaning the processing chamber with a fluorine-containing gas after etching the sample; and plasma-processing the processing chamber with a gas containing sulfur and oxygen after plasma cleaning the processing chamber.
    Type: Grant
    Filed: February 27, 2017
    Date of Patent: August 21, 2018
    Assignee: HITACHI HIGH-TECHNOLOGIES CORPORATION
    Inventors: Hayato Watanabe, Masahito Mori, Takao Arase, Taku Iwase
  • Patent number: 10037870
    Abstract: A method of performing a surface treatment includes passivating a surface of an insulating part in a reaction chamber, and then performing a hydrogen plasma annealing treatment on a substrate in the reaction chamber. The passivation of the surface of the insulating part includes supplying a nitrogen-based gas into the reaction chamber and exciting the nitrogen-based gas in the reaction chamber using a plasma generator.
    Type: Grant
    Filed: September 8, 2016
    Date of Patent: July 31, 2018
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Sung-ho Kang, Ki-chul Kim, Yong-hun Lee, Pyung Moon, Sun-young Lee, Un-ki Kim
  • Patent number: 10034362
    Abstract: The present disclosure is directed to plasma-based light sources. Systems and methods are described for protecting components of the light source from plasma generated debris which can include target material gas, atomic vapor, high energy ions, neutrals, micro-particles, and contaminants. Particular embodiments include arrangements for reducing the adverse effects of plasma generated ions and neutrals on light source components while simultaneously reducing in-band light attenuation due to target material gas and vapor.
    Type: Grant
    Filed: August 28, 2015
    Date of Patent: July 24, 2018
    Assignee: KLA-Tencor Corporation
    Inventors: Alexey Kuritsyn, Alexander Bykanov, Michael Kanouff, Oleg Khodykin
  • Patent number: 10030304
    Abstract: An ion implantation apparatus in which a fluorine compound gas is used as a source gas of an ion source, includes a vacuum chamber into which the source gas is introduced; an introduction passage connected to the vacuum chamber and configured to introduce into the vacuum chamber a cleaning gas containing a component that reacts with the fluorine compound deposited inside the vacuum chamber so as to generate a reactant gas; a delivery device configured to forcibly introduce the cleaning gas into the introduction passage; a first adjustment device configured to adjust an amount of gas flow in the introduction passage; an exhausting passage connected to the vacuum chamber and configured to forcibly exhaust the reactant gas along with the cleaning gas; and a second adjustment device configured to adjust an amount of gas flow in the exhausting passage.
    Type: Grant
    Filed: March 19, 2014
    Date of Patent: July 24, 2018
    Assignee: SUMITOMO HEAVY INDUSTRIES ION TECHNOLOGY CO., LTD.
    Inventors: Takayuki Nagai, Masateru Sato
  • Patent number: 10026597
    Abstract: The present disclosure provides methods for cleaning chamber components post substrate etching. In one example, a method for cleaning includes activating an etching gas mixture using a plasma to create an activated etching gas mixture, the etching gas mixture comprising hydrogen-containing precursor and a fluorine-containing precursor and delivering the activated etching gas mixture to a processing region of a process chamber, the process chamber having an edge ring positioned therein, the edge ring comprising a catalyst and anticatalytic material, wherein the activated gas removes the anticatalytic material from the edge ring.
    Type: Grant
    Filed: January 3, 2017
    Date of Patent: July 17, 2018
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Chirantha Rodrigo, Jingchun Zhang, Lili Ji, Anchuan Wang, Nitin K. Ingle
  • Patent number: 10002745
    Abstract: Embodiments of the disclosure include methods for in-situ chamber cleaning efficiency enhancement process for a plasma processing chamber utilized for a semiconductor substrate fabrication process. In one embodiment, a method for performing a plasma treatment process after cleaning a plasma process includes performing a cleaning process in a plasma processing chamber in absent of a substrate disposed thereon, subsequently supplying a plasma treatment gas mixture including at least a hydrogen containing gas and/or an oxygen containing gas into the plasma processing chamber, applying a RF source power to the processing chamber to form a plasma from the plasma treatment gas mixture, and plasma treating an interior surface of the processing chamber.
    Type: Grant
    Filed: May 3, 2016
    Date of Patent: June 19, 2018
    Assignee: Applied Materials, Inc.
    Inventors: Lin Zhang, Xuesong Lu, Andrew V. Le, Jang Seok Oh, Xinhai Han
  • Patent number: 9984855
    Abstract: An ion implantation system for improving performance and extending lifetime of an ion source is disclosed. A fluorine-containing dopant gas source is introduced into the ion chamber along with one or more co-gases. The one or more co-gases can include hydrogen or krypton. The co-gases mitigate the effects caused by free fluorine ions in the ion source chamber which lead to ion source failure.
    Type: Grant
    Filed: November 17, 2010
    Date of Patent: May 29, 2018
    Assignee: Axcelis Technologies, Inc.
    Inventors: Neil K. Colvin, Tseh-Jen Hsieh
  • Patent number: 9972776
    Abstract: According to one embodiment of the present invention, there is provided a plasma processing method for forming a pattern of a mask on a laminated film of a magnetic film and a metal oxide film, and the plasma processing method includes: plasma etching the magnetic film in a chamber; and after the plasma etching, plasma cleaning the chamber, wherein the plasma cleaning performs first plasma cleaning of plasma cleaning using a gas mixture of chlorine element-containing gas and boron trichloride gas, and after the first plasma cleaning, second plasma cleaning of removing boron remaining in the chamber.
    Type: Grant
    Filed: September 9, 2016
    Date of Patent: May 15, 2018
    Assignee: Hitachi High-Technologies Corporations
    Inventors: Makoto Suyama, Naohiro Yamamoto, Masato Ishimaru, Hidenori Toyooka, Norihiro Hosaka
  • Patent number: 9966238
    Abstract: Provided is a technique capable of suppressing a product substrate from being contaminated with a contaminant generated in a process chamber. The method includes (a) processing a substrate supported by a first substrate support by generating plasma in a first plasma generating region in a process chamber; and (b) removing a metal substance in the process chamber by generating plasma in the first plasma generating region and a second plasma generating region disposed between the first substrate support and a back surface of a substrate supported by a second substrate support.
    Type: Grant
    Filed: March 26, 2015
    Date of Patent: May 8, 2018
    Assignee: Hitachi Kokusai Electric Inc.
    Inventor: Masanori Nakayama
  • Patent number: 9925569
    Abstract: Methods for conditioning interior surfaces of a process chamber are provided herein. In one embodiment a method of conditioning interior surfaces of a process chamber is provided. The method comprises maintaining a process chamber at a first pressure and at a first temperature of less than about 800 degrees Celsius, providing a process gas to the process chamber at the first pressure and the first temperature, wherein the process gas comprises chlorine (Cl2) and high IR absorption gas, and exposing the process gas to radiant energy to remove residue disposed on interior surfaces of the process chamber.
    Type: Grant
    Filed: August 26, 2013
    Date of Patent: March 27, 2018
    Assignee: Applied Materials, Inc.
    Inventor: Zhiyuan Ye
  • Patent number: 9922841
    Abstract: A plasma processing method uses a plasma processing apparatus including a processing chamber, a mounting table provided in the processing chamber and configured to support a target object, and a ceiling member made of silicon and provided above the mounting table. The plasma processing method includes loading the target object into the processing chamber and generating a plasma of a processing gas containing chlorine gas and oxygen gas in the processing chamber.
    Type: Grant
    Filed: December 4, 2015
    Date of Patent: March 20, 2018
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Ryosuke Niitsuma, Haruto Kanamori
  • Patent number: 9911621
    Abstract: This method for processing a target object includes steps ST1 to ST4. The target object has an organic polymer layer and a resist mask on a substrate. In step ST1, the target object is electrostatically attached to an electrostatic chuck in a plasma processing apparatus. In step ST2, the organic polymer layer is etched through the resist mask by means of a plasma of a first gas. In step ST3, the target object is detached from the electrostatic chuck while a plasma of a second gas is generated. In step 4, the resist mask is peeled off. The second gas is either oxygen gas or a mixture of oxygen gas and a rare gas having an atomic weight lower than that of argon gas.
    Type: Grant
    Filed: January 16, 2015
    Date of Patent: March 6, 2018
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Toshikatsu Tobana, Gen You, Soichiro Okada
  • Patent number: 9908779
    Abstract: A method and apparatus for treating graphene raw material by plasma, and an application thereof are provided. After treated by the plasma, the graphene raw material will have a special structure and characteristic.
    Type: Grant
    Filed: April 30, 2015
    Date of Patent: March 6, 2018
    Assignee: NATIONAL TAIWAN UNIVERSITY
    Inventors: I-Chun Cheng, Jian-Zhang Chen, Cheng-Che Hsu, Pi-Tai Chou, Hsiao-Wei Liu, Haoming Chang, Sheng-Ping Liang, Ting-Jui Wu
  • Patent number: 9899195
    Abstract: Systems and methods for operating a substrate processing system include processing a substrate arranged on a substrate support in a processing chamber. At least one of precursor gas and/or reactive gas is supplied during the processing. The substrate is removed from the processing chamber. Carrier gas and purge gas are selectively supplied to the processing chamber. RF plasma is generated in the processing chamber during N cycles, where N is an integer greater than one. The RF plasma is on for a first period and off for a second period during each of the N cycles. The purge gas is supplied during at least part of each of the N cycles.
    Type: Grant
    Filed: September 21, 2016
    Date of Patent: February 20, 2018
    Assignee: LAM RESEARCH CORPORATION
    Inventors: Hu Kang, Adrien LaVoie
  • Patent number: 9882124
    Abstract: An etching method is provided for etching a multilayer film material that includes a metal laminated film having an insulating layer arranged between a first magnetic layer and a second magnetic layer. The etching method includes an etching step of generating a plasma by supplying a first gas to a processing chamber and etching the metal laminated film using the generated plasma. The first gas is a gas containing PF3 gas.
    Type: Grant
    Filed: February 9, 2015
    Date of Patent: January 30, 2018
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Eiichi Nishimura, Akitaka Shimizu, Fumiko Yamashita
  • Patent number: 9872372
    Abstract: An extreme ultraviolet light generation device is to generate extreme ultraviolet light by irradiating a target with a pulse laser beam and thereby turning the target into plasma. The device may include a chamber, a magnet configured to form a magnetic field in the chamber, and an ion catcher including a collision unit disposed so that ions guided by the magnetic field collide with the collision unit.
    Type: Grant
    Filed: December 14, 2016
    Date of Patent: January 16, 2018
    Assignee: Gigaphoton Inc.
    Inventors: Atsushi Ueda, Shinji Nagai, Yoshifumi Ueno, Tamotsu Abe
  • Patent number: 9871064
    Abstract: The invention disclosed a method for forming shallow trenches of the dual active regions. Firstly, forming an etch stop layer on a semiconductor substrate; secondly, using a first accurate photomask to expose and develop the semiconductor substrate, until the etch stop layer has been exposed on the top of the first shallow trench regions and the second shallow trench regions; thirdly, etching the etch stop layer entirely in the exposed regions; fourthly, using a second photomask to expose and develop the first shallow trench regions which require a deeper etch depth of the trench than that of the second shallow trench regions; fifthly, etching and forming preliminary entirely depth in the first shallow trench regions, and then removing the second photomask; at last, taking the etch stop layer as a mask, and simultaneously etching the first shallow trench regions and the second shallow trench regions to form the first hallow trenches and the second shallow trenches having different depths.
    Type: Grant
    Filed: September 30, 2016
    Date of Patent: January 16, 2018
    Assignee: SHANGHAI HUALI MICROELECTRONICS CORPORATION
    Inventors: Quan Jing, Jin Xu, Minjie Chen, Yu Ren, Yukun Lv, Jun Zhu, Xusheng Zhang
  • Patent number: 9865451
    Abstract: A method for cleaning an interior of a process chamber after performing a process of forming a carbon-containing film on a substrate in the process chamber includes performing a cycle a predetermined number of times. The cycle includes supplying a modifying gas into the process chamber to modify deposits including the carbon-containing film deposited on a surface of a member in the process chamber and supplying an etching gas into the process chamber to remove the modified deposits through a thermochemical reaction.
    Type: Grant
    Filed: March 31, 2014
    Date of Patent: January 9, 2018
    Assignee: HITACHI KOKUSAI ELECTRIC, INC.
    Inventors: Takaaki Noda, Shingo Nohara, Yoshiro Hirose
  • Patent number: 9856560
    Abstract: The method according to the invention includes the steps of: purging an inside of the processing chamber with gas while applying a thermal impact onto the thin film deposited on the inside of the processing chamber by decreasing the temperature in the processing chamber, so as to forcibly generate a crack in the thin film and forcibly peel the adhered material with a weak adhesive force, in a state where the substrate is not present in the processing chamber; removing the thin film deposited on the inside of the processing chamber by supplying a fluorine-based gas to the inside of the processing chamber heated to a first temperature, in the state where the substrate is not present in the processing chamber; and removing an adhered material remaining on the inside of the processing chamber after removing the thin film by supplying a fluorine-based gas to the inside of the processing chamber heated to a second temperature, in the state where the substrate is not present in the processing chamber.
    Type: Grant
    Filed: February 23, 2009
    Date of Patent: January 2, 2018
    Assignee: HITACHI KOKUSAI ELECTRIC INC.
    Inventors: Kenji Kameda, Jie Wang, Yuji Urano
  • Patent number: 9852891
    Abstract: A method for efficient plasma etching of surfaces inside three-dimensional structures can include positioning an inner electrode within the chamber cavity; evacuating the chamber cavity; adding a first inert gas to the chamber cavity; regulating the pressure in the chamber; generating a plasma sheath along the inner wall of the chamber cavity; adjusting a positive D.C. bias on the inner electrode to establish an effective plasma sheath voltage; adding a first electronegative gas to the chamber cavity; optionally readjusting the positive D.C. bias on the inner electrode reestablish the effective plasma sheath voltage at the chamber cavity; etching the inner wall of the chamber cavity; and polishing the inner wall to a desired surface roughness.
    Type: Grant
    Filed: April 16, 2015
    Date of Patent: December 26, 2017
    Assignee: Old Dominion University Research Foundation
    Inventors: Svetozar Popovic, Janardan Upadhyay, Leposava Vuskovic, H. Lawrence Phillips, Anne-Marie Valente-Feliciano
  • Patent number: 9828672
    Abstract: Methods and apparatus for remote plasma processing are provided. In various embodiments, a reaction chamber is conditioned by forming a low recombination material coating on interior chamber surfaces. The low recombination material helps minimize the degree of radical recombination that occurs within the reaction chamber when the reaction chamber is used to process substrates. During processing on substrates, the low recombination material may become covered by relatively higher recombination material (e.g., as a byproduct of the substrate processing), which results in a decrease in the amount of radicals available to process the substrate over time. The low recombination material coating may be reconditioned through exposure to an oxidizing plasma, which acts to reform the low recombination material coating. The reconditioning process may occur periodically as additional processing occurs on substrates.
    Type: Grant
    Filed: May 14, 2015
    Date of Patent: November 28, 2017
    Assignee: Lam Research Corporation
    Inventors: Bhadri N. Varadarajan, Bo Gong, Rachel E. Batzer, Huatan Qiu, Bart J. van Schravendijk, Geoffrey Hohn
  • Patent number: 9824865
    Abstract: A system and method for a waferless cleaning method for a capacitive coupled plasma system. The method includes forming a protective layer on a top surface of an electrostatic chuck, volatilizing etch byproducts deposited on one or more inner surfaces of the plasma process chamber, removing volatilized etch byproducts from the plasma process chamber and removing the protective layer from the top surface of the electrostatic chuck. A capacitive coupled plasma system including a waferless cleaning recipe is also described.
    Type: Grant
    Filed: March 5, 2014
    Date of Patent: November 21, 2017
    Assignee: Lam Research Corporation
    Inventors: Shijian Li, David Carman, Chander Radhakrishnan
  • Patent number: 9767996
    Abstract: Disclosed herein are various embodiments, including an electrostatic screen for use in a plasma processing chamber with a plurality of electrical leads. A plurality of petal groups is provided with each petal group comprising a substantially-flat structure, wherein each petal group is electrically connected to at least one electrical lead of the plurality of electrical leads and wherein each petal group is insulated from any other petal group, wherein the plurality of petal groups form a radial symmetry around a vertical axis. Each substantially flat structure comprises a sector of a conductive annulus and a plurality of conductive petals, each connected to the sector of the conductive annulus, wherein the at least one electrical lead is connected to substantially equal potential locations in each petal group.
    Type: Grant
    Filed: August 21, 2015
    Date of Patent: September 19, 2017
    Assignee: Lam Research Corporation
    Inventors: Robert G. O'Neill, Neil M. P. Benjamin, Jie Zhang
  • Patent number: 9759322
    Abstract: A sliding element for use in an internal combustion engine may include a ferrous base having a peripheral sliding surface covered by a protective surface layer, the protective surface layer including at least one nitride applied via at least one of physical vapour deposition and a nitrided layer. The peripheral sliding surface may have a diamond like carbon (DLC) coating disposed thereon. The coating may include at least one of (a) one or more transition layers composed of WC1-x and (b) an adhesive layer of metallic chromium with a crystal structure. The coating may include an intermediate layer of metal DLC, the metal may be tungsten in a multilayer structure of a-C:H:W and a-C:H, and an outer layer of metal-free DLC.
    Type: Grant
    Filed: February 15, 2013
    Date of Patent: September 12, 2017
    Assignees: MAHLE Metal Leve S.A., MAHLE International GmbH
    Inventors: Juliano A. Araujo, Nuno Costa
  • Patent number: 9748092
    Abstract: Disclosed is a liquid chemical for forming a water-repellent protecting film at least on a surface of a recessed portion of an uneven pattern at the time of cleaning a wafer having a finely uneven pattern at its surface and containing silicon at at least a part of the uneven pattern. This liquid chemical contains a silicon compound A represented by the general formula: R1aSi(H)bX4-a-b and an acid A, the acid A being at least one selected from the group consisting of trimethylsilyl trifluoroactate, trimethylsilyl trifluoromethanesulfonate, dimethylsilyl trifluoroactate, dimethylsilyl trifluoromethanesulfonate, butyldimethylsilyl trifluoroactate, butyldimethylsilyl trifluoromethanesulfonate, hexyldimethylsilyl trifluoroacetate, hexyldimethylsilyl trifluoromethanesulfonate, octyldimethylsilyl trifluoroactate, octyldimethylsilyl trifluoromethanesulfonate, decyldimethylsilyl trifluoroacetate and decyldimethylsilyl trifluoromethanesulfonate.
    Type: Grant
    Filed: November 25, 2015
    Date of Patent: August 29, 2017
    Assignee: Central Glass Company, Limited
    Inventors: Soichi Kumon, Takashi Saio, Shinobu Arata, Masanori Saito, Atsushi Ryokawa, Shuhei Yamada, Hidehisa Nanai, Yoshinori Akamatsu
  • Patent number: 9741584
    Abstract: A method for densifying a dielectric film on a substrate includes arranging a substrate including a dielectric film on a substrate support in a substrate processing chamber; supplying a gas mixture including helium and oxygen to the substrate processing chamber; controlling pressure in the substrate processing chamber to a pressure greater than or equal to a predetermined pressure; supplying a first power level at a first frequency to a coil to create plasma in the substrate processing chamber. The coil is arranged around an outer surface of the substrate processing chamber. The method includes densifying the dielectric film for a predetermined period. The pressure and the first power level are selected to prevent sputtering of the dielectric film during densification of the dielectric film.
    Type: Grant
    Filed: May 5, 2016
    Date of Patent: August 22, 2017
    Assignee: LAM RESEARCH CORPORATION
    Inventors: Jason Daejin Park, Bart van Schravendijk
  • Patent number: 9711564
    Abstract: The invention disclosed a preparation method for a high-voltage LED device integrated with a pattern array, comprising the following process steps: providing a substrate, and forming a N-type GaN limiting layer, an epitaxial light-emitting layer and a P-type GaN limiting layer on the substrate in sequence; isolating the N—GaN limiting layer, the epitaxial light-emitting layer and the P—GaN limiting layer on the substrate into at least two or more independent pattern units by means of photo lithography and etching process, wherein each of the pattern unit is in a triangular shape, and very two adjacent pattern units are arranged in an opposing and crossed manner to form a quadrangle, and the quadrangles formed by a plurality of adjacent pattern units are distributed in array; and connecting each pattern unit with metal wires to form a series connection and/or a parallel connection, thereby forming a plurality of interconnected LED chips.
    Type: Grant
    Filed: November 13, 2012
    Date of Patent: July 18, 2017
    Assignee: DURA CHIP (SUZHOU) LTD.
    Inventors: Hongyue Zhao, Shihui Song, Zhijiang Sun
  • Patent number: 9694095
    Abstract: Systems and methods for plasma sterilization are described. The sterilization method includes placing a substance to be sterilized in a rotating chamber (e.g., drum) and exposing the substance to a radio frequency (RF) plasma. The mixing of the substance and plasma is further promoted by generating a magnetic field that produces a force on the substance in a direction opposite to the rotational direction of the chamber. In other aspects, the chamber may have a gas permeable wall. In addition, the substance may be exposed to acoustic shock waves produced by a modulating RF generator.
    Type: Grant
    Filed: October 28, 2014
    Date of Patent: July 4, 2017
    Assignee: APPLIED QUANTUM ENERGY LLC
    Inventor: George Paskalov
  • Patent number: 9676626
    Abstract: An IF7-derived iodine fluoride compound recovery method includes putting gas containing IF7 into contact with a material to be fluorinated, thereby converting the IF7 into IF5; and cooling gas containing the IF5, thereby trapping the IF5 as an IF7-derived iodine fluoride compound. The recovered IF5 may be reacted with fluorine to generate IF7, which may be reused for a semiconductor production process.
    Type: Grant
    Filed: July 28, 2014
    Date of Patent: June 13, 2017
    Assignee: CENTRAL GLASS COMPANY, LIMITED
    Inventors: Akiou Kikuchi, Masanori Watari
  • Patent number: 9673038
    Abstract: A method for gas phase oxide removal and passivation of germanium-containing semiconductors and compound semiconductors is disclosed in various embodiments. According to one embodiment of the invention, a method is provided for processing a semiconductor substrate. The method includes providing a substrate containing a germanium-containing semiconductor or a compound semiconductor, and exposing the substrate to a process gas containing a sulfur-containing gas and a nitrogen-containing gas that passivates a surface of the germanium-containing semiconductor or the compound semiconductor with sulfur. According to another embodiment, the germanium-containing semiconductor or the compound semiconductor has an oxidized layer thereon and the exposing to the process gas removes the oxidized layer from the substrate. According to another embodiment, the substrate may be treated with hydrogen fluoride (HF) gas and ammonia (NH.sub.
    Type: Grant
    Filed: July 9, 2015
    Date of Patent: June 6, 2017
    Assignee: Tokyo Electron Limited
    Inventors: Richard H. Gaylord, Joel Barnett
  • Patent number: 9636718
    Abstract: A mask cleaning apparatus and a mask cleaning method are provided. The mask cleaning method comprises: placing a mask (100) on a stage (20); and ejecting a dry ice particle group including a plurality of dry ice particles (101) toward a surface of the mask (100) at a speed of 340 m/s to 1000 m/s, within a cleaning time, wherein the plurality of dry ice particles (101) impact the surface of the mask (100) so as to remove a contaminant on the surface of the mask. Thereby, the mask cleaning apparatus and the mask cleaning method provided by embodiments of the present disclosure can remove the contaminant on the mask, without increasing a contamination medium and damaging the surface of the mask.
    Type: Grant
    Filed: April 24, 2015
    Date of Patent: May 2, 2017
    Assignee: BOE TECHNOLOGY GROUP CO., LTD.
    Inventors: Kelvin Chiang, Peng Zhang
  • Patent number: 9633864
    Abstract: There is provided a method for selectively etching a first region of silicon oxide with respect to a second region of silicon nitride by performing plasma processing on a target object including the second region formed to have a recess, the first region provided to fill the recess and to cover the second region, and a mask provided on the first region. The method includes: (a) generating a plasma of a processing gas containing a fluorocarbon gas in a processing chamber where the target object is accommodated and forming a deposit containing fluorocarbon on the target object; (b) generating a plasma of a processing gas containing an oxygen-containing gas and an inert gas in the processing chamber; and (c) etching the first region by radicals of fluorocarbon contained in the deposit. A sequence including the step (a), the step (b) and the step (c) is repeatedly performed.
    Type: Grant
    Filed: January 14, 2016
    Date of Patent: April 25, 2017
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Hikaru Watanabe, Akihiro Tsuji
  • Patent number: 9627180
    Abstract: This invention relates in part to a method for cleaning an ion source component of an ion implanter used in semiconductor and microelectronic manufacturing. The ion source component includes an ionization chamber and one or more components contained within the ionization chamber. The interior of the ionization chamber and/or the one or more components contained within the ionization chamber have at least some deposits thereon of elements contained within a dopant gas, e.g., carborane (C2B10H12). The method involves introducing a cleaning gas into the ionization chamber, and reacting the cleaning gas with the deposits under conditions sufficient to remove at least a portion of the deposits from the interior of the ionization chamber and/or from the one or more components contained within the ionization chamber.
    Type: Grant
    Filed: October 1, 2009
    Date of Patent: April 18, 2017
    Assignee: PRAXAIR TECHNOLOGY, INC.
    Inventors: Ashwini Sinha, Serge Marius Campeau, Lloyd Anthony Brown
  • Patent number: 9595464
    Abstract: Methods and apparatus for processing a substrate are disclosed herein. In some embodiments, an apparatus for processing a substrate includes: a substrate support having a substrate supporting surface including an electrically insulating coating; a substrate lift mechanism including a plurality of lift pins configured to move between a first position disposed beneath the substrate supporting surface and a second position disposed above the substrate supporting surface; and a connector configured to selectively provide an electrical connection between the substrate support and the substrate lift mechanism before the plurality of lift pins reach a plane of the substrate supporting surface.
    Type: Grant
    Filed: June 26, 2015
    Date of Patent: March 14, 2017
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Sriskantharajah Thirunavukarasu, Kirankumar Savandaiah, Cheng-Hsiung Tsai, Kai Liang Liew
  • Patent number: 9595451
    Abstract: Methods for forming high aspect ratio features using an etch process are provided. In one embodiment, a method for etching a dielectric layer to form features in the dielectric layer includes (a) supplying an etching gas mixture during a first mode to etch a portion of a dielectric layer disposed on a substrate while forming a passivation protection in the dielectric layer, wherein the dielectric layer is etched through openings defined in a patterned mask layer disposed on the dielectric layer, (b) supplying an etching gas mixture during a second mode to continue forming the passivation protection in the dielectric layer without etching the dielectric layer, and repeatedly performing (a) and (b) to form features in the dielectric layer until a surface of the substrate is exposed.
    Type: Grant
    Filed: October 19, 2015
    Date of Patent: March 14, 2017
    Assignee: Applied Materials, Inc.
    Inventors: Hailong Zhou, Gene Lee, Liming Yang
  • Patent number: 9595448
    Abstract: A method for cleaning a plasma processing chamber is provided. The method includes introducing an organic gas into a plasma processing chamber. The organic gas includes an organic compound including carbon and hydrogen. The method includes generating an organic plasma by exciting the organic gas. The organic plasma reacts with metal compound residues over an interior surface of the plasma processing chamber to volatilize the metal compound residues into a gaseous metal compound. The method includes removing the gaseous metal compound from the plasma processing chamber.
    Type: Grant
    Filed: June 29, 2015
    Date of Patent: March 14, 2017
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Shih-Ping Hong, Yu-Cheng Liu
  • Patent number: 9589773
    Abstract: Embodiments described herein relate to methods for determining a cleaning endpoint. A first plasma cleaning process may be performed in a clean chamber environment to determine a clean time function defined by a first slope. A second plasma cleaning process may be performed in an unclean chamber environment to determine a clean time function defined by a second slope. The first and second slope may be compared to determine a clean endpoint time.
    Type: Grant
    Filed: April 22, 2016
    Date of Patent: March 7, 2017
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Sidharth Bhatia, Anjana M. Patel, Abdul Aziz Khaja
  • Patent number: 9552980
    Abstract: A method of manufacturing a semiconductor device includes: pre-treating a surface of a substrate by supplying an oxygen-containing gas and a hydrogen-containing gas to the substrate heated in a process chamber under a pressure less than atmospheric pressure; and forming a film on the pre-treated substrate by performing a cycle a predetermined number of times. The cycle includes: supplying a precursor gas to the substrate in the process chamber; and supplying a reaction gas to the substrate in the process chamber.
    Type: Grant
    Filed: March 31, 2014
    Date of Patent: January 24, 2017
    Assignee: HITACHI KOKUSAI ELECTRIC INC.
    Inventors: Takashi Ozaki, Hideki Horita