Plasma Cleaning Patents (Class 134/1.1)
  • Publication number: 20150020847
    Abstract: According to the present disclosure, a method for cleaning the processing chamber of a flexible substrate processing apparatus without breaking the vacuum in the processing chamber is provided. The method for cleaning the processing chamber includes guiding a sacrificial foil into the processing chamber; initiating a first pump process in the processing chamber; plasma cleaning the processing chamber while the sacrificial foil is provided in the processing chamber; initiating a second pump process in the processing chamber; and guiding a flexible substrate into the processing chamber.
    Type: Application
    Filed: August 13, 2013
    Publication date: January 22, 2015
    Inventors: Florian RIES, Stefan HEIN, Stefan LORENZ, Neil MORRISON, Tobias STOLLEY
  • Publication number: 20150013715
    Abstract: An ashing device that prevents the ashing rate from changing over time. The ashing device ashes organic material on a substrate including an exposed metal in a processing chamber. The ashing device includes a path, which is formed in the processing chamber and through which active species supplied to the processing chamber pass. The path is defined by a surface on which the metal scattered from the substrate by the active species is collectible, with the surface being formed so as to expose a metal that is of the same kind.
    Type: Application
    Filed: September 26, 2014
    Publication date: January 15, 2015
    Inventors: Masahisa Ueda, Takashi Kurimoto, Kyuzo Nakamura, Koukou Suu, Toshiya Yogo, Kazushige Komatsu, Nobusuke Tachibana
  • Patent number: 8932406
    Abstract: The molecular etcher carbonyl fluoride (COF2) or any of its variants, are provided for, according to the present invention, to increase the efficiency of etching and/or cleaning and/or removal of materials such as the unwanted film and/or deposits on the chamber walls and other components in a process chamber or substrate (collectively referred to herein as “materials”). The methods of the present invention involve igniting and sustaining a plasma, whether it is a remote or in-situ plasma, by stepwise addition of additives, such as but not limited to, a saturated, unsaturated or partially unsaturated perfluorocarbon compound (PFC) having the general formula (CyFz) and/or an oxide of carbon (COx) to a nitrogen trifluoride (NF3) plasma into a chemical deposition chamber (CVD) chamber, thereby generating COF2. The NF3 may be excited in a plasma inside the CVD chamber or in a remote plasma region upstream from the CVD chamber.
    Type: Grant
    Filed: March 15, 2013
    Date of Patent: January 13, 2015
    Assignee: Matheson Tri-Gas, Inc.
    Inventors: Glenn Mitchell, Ramkumar Subramanian, Carrie L. Wyse, Robert Torres, Jr.
  • Publication number: 20150007857
    Abstract: A method of cleaning a substrate processing apparatus including a gas supply part configured to eject a process gas via gas passages formed in the gas supply part, and divided into first and second regions corresponding to first and second in-plane positions of a substrate, respectively, includes cleaning a first one of the gas passages corresponding to the first region with the plasma of the process gas by causing a first flow rate of the process gas supplied to the first region to be lower than a second flow rate of the process gas supplied to the second region and cleaning a second one of the gas passages corresponding to the second region with the plasma by causing a third flow rate of the process gas supplied to the first region to be higher than a fourth flow rate of the process gas supplied to the second region.
    Type: Application
    Filed: June 27, 2014
    Publication date: January 8, 2015
    Inventors: Akihiro Kikuchi, Mitsuhiro Tomura
  • Patent number: 8926757
    Abstract: The plasma reactor defines a reaction chamber provided with a support for the metallic pieces and an anode-cathode system, and a heating means is mounted externally to said plasma reactor. The plasma process, for a cleaning operation, includes the steps of connecting the support to the grounded anode and the cathode to a negative potential of a power source; feeding an ionizable gaseous charge into the reaction chamber and heating the latter at vaporization temperatures of piece contaminants; applying an electrical discharge to the cathode; and providing the exhaustion of the gaseous charge and contaminants. A subsequent heat treatment includes the steps of: inverting the energization polarity of the anode-cathode system; feeding a new gaseous charge to the reaction chamber and maintaining it heated; applying an electrical discharge to the cathode; and exhausting the gaseous charge from the reaction chamber.
    Type: Grant
    Filed: June 9, 2009
    Date of Patent: January 6, 2015
    Assignee: Whirlpool S. A.
    Inventors: Roberto Binder, Aloisio Nelmo Klein, Cristiano Binder, Gisele Hammes
  • Publication number: 20150000694
    Abstract: The present invention discloses a method of reducing contamination in a CVD chamber. The method comprises cleaning the CVD chamber with first cleaning gases containing NF3; removing the particles in the CVD chamber with second cleaning gases containing N2; further removing the particles in the CVD chamber with third cleaning gases containing O2; and seasoning an amorphous carbon layer with mixed gases containing C2H2 and an inert gas.
    Type: Application
    Filed: September 30, 2013
    Publication date: January 1, 2015
    Applicant: Shanghai Huali Microelectronics Corporation
    Inventors: Yadan Zhu, Jun Zhou
  • Publication number: 20150000695
    Abstract: A method for cleaning an interior of a process chamber after performing a process of forming a carbon-containing film on a substrate in the process chamber includes performing a cycle a predetermined number of times. The cycle includes supplying a modifying gas into the process chamber to modify deposits including the carbon-containing film deposited on a surface of a member in the process chamber and supplying an etching gas into the process chamber to remove the modified deposits through a thermochemical reaction.
    Type: Application
    Filed: March 31, 2014
    Publication date: January 1, 2015
    Applicant: HITACHI KOKUSAI ELECTRIC INC.
    Inventors: Takaaki NODA, Shingo NOHARA, Yoshiro HIROSE
  • Patent number: 8921807
    Abstract: A lithographic apparatus which performs drawing on a substrate with a charged-particle beam, includes an optical system having an aperture plate in which a first number of apertures are formed to pass a first number of charged-particle beams to perform the drawing, a substrate holder, a cleaning unit configured to clean the aperture plate, and a chamber containing the optical system and the substrate holder. The cleaning unit includes a case having an emitting hole plate in which a second number of emitting holes are formed, the second number being smaller than the first number, an active species source configured to generate active species in the case, and a driving mechanism configured to move the case.
    Type: Grant
    Filed: May 2, 2012
    Date of Patent: December 30, 2014
    Assignee: Canon Kabushiki Kaisha
    Inventors: Hiromitsu Takase, Ichiro Tanaka, Akira Miyake
  • Publication number: 20140373867
    Abstract: A cleaning method, which is performed when using a substrate processing apparatus including at least an electrostatic chuck to receive a substrate and performing a plasma process on the substrate, for removing a deposit containing titanium and attached to the electrostatic chuck, is provided. In the method, the deposit containing titanium is reduced by plasma generated from a first process gas containing a reducing gas. Next, the reduced deposit containing titanium is removed by plasma generated from a second process gas containing a fluorine-based gas. A fluorocarbon based deposit deposited when removing the reduced deposit containing titanium by the plasma generated from the second process gas containing the fluorine-based gas is removed by plasma generated from a third process gas containing oxygen.
    Type: Application
    Filed: June 17, 2014
    Publication date: December 25, 2014
    Inventor: Akitoshi HARADA
  • Publication number: 20140373868
    Abstract: The invention relates to a vacuum surface cleaning device comprising a gas generation unit, a gas handling unit a plasma generation unit and a sample cleaning unit, wherein the gas generation unit is adapted to generate at least hydrogen and oxygen gases and to supply the said gases into the gas handling unit, wherein the gas handling unit is adapted to retrieve hydrogen and oxygen separately from a gas mixture provided by the gas generation unit, wherein the gas handling unit being further arranged to provide the retrieved gas into the plasma generation unit, wherein the plasma generation unit being adapted to generate a low energetic plasma from the said retrieved gas and to supply radicals and/or ions in the sample cleaning unit and wherein the sample cleaning unit being adapted to expose a sample to the said radicals and/or ions. The invention further relates to a method of cleaning a surface.
    Type: Application
    Filed: December 14, 2012
    Publication date: December 25, 2014
    Inventors: Norbertus Benedictus Koster, Diederik Jan Maas
  • Patent number: 8916056
    Abstract: A plasma processing apparatus includes a process chamber housing defining a process chamber, a platen positioned in the process chamber for supporting a workpiece, a source configured to generate plasma in the process chamber, and a biasing system. The biasing system is configured to bias the platen to attract ions from the plasma towards the workpiece during a first processing time interval and configured to bias the platen to repel ions from the platen towards interior surfaces of the process chamber housing during a cleaning time interval. The cleaning time interval is separate from the first processing time interval and occurring after the first processing time interval.
    Type: Grant
    Filed: October 11, 2012
    Date of Patent: December 23, 2014
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventors: Bon-Woong Koo, Richard M. White
  • Publication number: 20140366912
    Abstract: Methods and apparatus for in-situ plasma cleaning of a deposition chamber are provided. In one embodiment a method for plasma cleaning a deposition chamber without breaking vacuum is provided. The method comprises positioning a substrate on a susceptor disposed in the chamber and circumscribed by an electrically floating deposition ring, depositing a metal film on the substrate and the deposition ring in the chamber, grounding the metal film deposited on the deposition ring without breaking vacuum, and removing contaminants from the chamber with a plasma formed in the chamber without resputtering the metal film on the grounded deposition ring and without breaking vacuum.
    Type: Application
    Filed: May 16, 2014
    Publication date: December 18, 2014
    Applicant: Applied Materials, Inc.
    Inventors: Richard J. GREEN, Cheng-Hsiung TSAI, Shambhu N. ROY, Puneet BAJAJ, David H. LOO
  • Patent number: 8911559
    Abstract: A method for cleaning an etching chamber is disclosed. The method comprises providing an etching chamber; introducing a first gas comprising an inert gas into the etching chamber for a first period of time; and transporting a first wafer into the etching chamber after the first period of time, wherein the first wafer undergoes an etching process.
    Type: Grant
    Filed: May 8, 2009
    Date of Patent: December 16, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Yu Chao Lin, Ryan Chia-Jen Chen, Yih-Ann Lin, Jr Jung Lin
  • Patent number: 8911558
    Abstract: A post-W CMP cleaning solution consists of carboxylic acid and deionized water. The carboxylic acid may be selected from the group consisting of (1) monocarboxylic acids; (2) dicarboxylic acids; (3) tricarboxylic acids; (4) polycarboxylic acids; (5) hydroxycarboxylic acids; (6) salts of the above-described carboxylic acids; and (7) any combination thereof. The post-W CMP cleaning solution can work well without adding any other chemical additives such as surfactants, corrosion inhibitors, pH adjusting agents or chelating agents.
    Type: Grant
    Filed: March 23, 2011
    Date of Patent: December 16, 2014
    Assignee: Nanya Technology Corp.
    Inventors: Hongqi Li, Anurag Jindal, Jin Lu
  • Patent number: 8906163
    Abstract: A method of operating one or more back end circuits of a plasma processing system, comprising: prior to a front end module receiving one or more wafers to be processed, receiving preliminary data at a back end circuit, wherein the preliminary data indicates a recipe and a predetermined number, the predetermined number indicating a number of wafers to be processed; determining whether a plasma processing chamber is ready for processing; and if the chamber is ready for processing and via the back end circuit, selecting a load lock, based on the predetermined number, instructing the front end module to pull the one or more wafers into the load lock, enabling the chamber to process a first wafer of the one or more wafers according to the recipe, and subsequent to the processing of the first wafer, instructing the front end module to remove the first wafer from the chamber.
    Type: Grant
    Filed: December 7, 2010
    Date of Patent: December 9, 2014
    Assignee: Lam Research Corporation
    Inventors: Chung-Ho Huang, Cheng-Chieh Lin
  • Publication number: 20140345644
    Abstract: A method for cleaning a reaction chamber is conducted after depositing an oxide, nitride, or oxynitride film on a substrate in a reaction chamber having interior surfaces on which oxide, nitride, or oxynitride is accumulated as a result of the deposition, said oxide, nitride, or oxynitride being selected from the group consisting of silicon oxide, silicon nitride, silicon oxynitride, metal oxide, metal nitride, and metal oxynitride. The method includes: oxidizing or nitriding the oxide, nitride, or oxynitride is accumulated on the interior surfaces of the reaction chamber, by RF-excited plasma of an oxygen- or nitrogen-containing gas in the absence of halide gas as a pre-cleaning step; and cleaning the interior surfaces of the reaction chamber, by RF-excited plasma of a halide cleaning gas.
    Type: Application
    Filed: May 23, 2013
    Publication date: November 27, 2014
    Inventors: Tatsuhiro Okabe, Atsuki Fukazawa
  • Publication number: 20140345645
    Abstract: Methods of removing copper residue from interior surfaces of an etch process chamber are described. A plasma treatment using halogen-containing precursors transforms the copper residue into halogen-copper complexes. Plasma-excited inert gases are used to desorb the halogen-copper complexes. In this way, the copper residue is removed from the interior surfaces of the etch process chamber.
    Type: Application
    Filed: August 21, 2013
    Publication date: November 27, 2014
    Applicants: International Business Machines Corporation, Applied Materials, Inc.
    Inventors: Mark Hoinkis, Chun Yan, Hiroyuki Miyazoe, Eric Joseph
  • Patent number: 8894870
    Abstract: A system and method for etching a material, including a compound having a formulation of XYZ, wherein X and Y are one or more metals and Z is selected from one or more Group 13-16 elements, such as carbon, nitrogen, boron, silicon, sulfur, selenium, and tellurium, are disclosed. The method includes a first etch process to form one or more first volatile compounds and a metal-depleted layer and a second etch process to remove at least a portion of the metal-depleted layer.
    Type: Grant
    Filed: March 4, 2013
    Date of Patent: November 25, 2014
    Assignee: ASM IP Holding B.V.
    Inventors: Jereld Lee Winkler, Eric James Shero, Fred Alokozai
  • Publication number: 20140326276
    Abstract: Implementations described herein generally relate to methods and apparatus for in-situ removal of unwanted deposition buildup from one or more interior surfaces of a semiconductor substrate processing chamber. In one implementation, a method for removing cobalt or cobalt containing deposits from one or more interior surfaces of a substrate processing chamber after processing a substrate disposed in the substrate processing chamber is provided. The method comprises forming a reactive species from the fluorine containing cleaning gas mixture, permitting the reactive species to react with the cobalt and/or the cobalt containing deposits to form cobalt fluoride in a gaseous state and purging the cobalt fluoride in gaseous state out of the substrate processing chamber.
    Type: Application
    Filed: April 17, 2014
    Publication date: November 6, 2014
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Kai WU, Bo ZHENG, Sang Ho YU, Avgerinos V. GELATOS, Bhushan N. ZOPE, Jeffrey ANTHIS, Benjamin SCHMIEGE
  • Publication number: 20140326277
    Abstract: A method and a device for the plasma treatment of surfaces of at least one workpiece. At least one plasma source is connected to an energy source in order to generate a plasma. A closed area is in fluid connection to the at least one plasma source. At least one suction unit is used to adjust a pressure difference between the at least one plasma source and the closed area.
    Type: Application
    Filed: July 16, 2014
    Publication date: November 6, 2014
    Inventors: Stefan Nettesheim, Klaus Forster, Dariusz Korzec
  • Publication number: 20140326275
    Abstract: Provided are a method and apparatus for cleaning organic materials accumulated on a mask used in a process of depositing organic materials. The apparatus includes a plasma generating unit, a cleaning chamber connected to the plasma generating unit and accommodating the mask therein, a gas injection port disposed within the cleaning chamber configured to inject the plasma, and a cooling device disposed on a first surface of the mask opposite to an opposite surface of the mask facing the gas injection port.
    Type: Application
    Filed: December 5, 2013
    Publication date: November 6, 2014
    Applicant: Samsung Display Co., Ltd.
    Inventor: Tae-Jong Kim
  • Publication number: 20140319369
    Abstract: An ion source and method of cleaning are disclosed. One or more heating units are placed in close proximity to the inner volume of the ion source, so as to affect the temperature within the ion source. In one embodiment, one or more walls of the ion source have recesses into which heating units are inserted. In another embodiment, one or more walls of the ion source are constructed of a conducting circuit and an insulating layer. By utilizing heating units near the ion source, it is possible to develop new methods of cleaning the ion source. Cleaning gas is flowed into the ion source, where it is ionized, either by the cathode, as in normal operating mode, or by the heat generated by the heating units. The cleaning gas is able to remove residue from the walls of the ion source more effectively due to the elevated temperature.
    Type: Application
    Filed: July 8, 2014
    Publication date: October 30, 2014
    Inventors: Bon-Woong Koo, Christopher R. Campbell, Craig R. Chaney, Robert C. Lindberg, Wilhelm P. Platow, Alexander S. Perel
  • Publication number: 20140318575
    Abstract: A protective cover for an electrostatic chuck may include a conductive wafer and a plasma resistant ceramic layer on at least one surface of the conductive wafer. The plasma resistant ceramic layer covers a top surface of the conductive wafer, side walls of the conductive wafer and an outer perimeter of a bottom surface of the conductive wafer. Alternatively, a protective cover for an electrostatic chuck may include a plasma resistant bulk sintered ceramic wafer and a conductive layer on a portion of a bottom surface of the plasma resistant bulk sintered ceramic wafer, wherein a perimeter of the bottom surface is not covered.
    Type: Application
    Filed: April 18, 2014
    Publication date: October 30, 2014
    Applicant: Applied Materials, Inc.
    Inventor: Vijay D. Parkhe
  • Publication number: 20140305467
    Abstract: The invention concerns a device and a process, the device being a cleaning device utilizing a dry chemical means assisted by plasma from a reactor (10) containing an unwanted deposit on its walls and at least one other polarizable surface (12), characterized in that it comprises means (13, 14) for positively polarizing one or each of the polarizable surfaces relative to the reactor walls maintained at a reference potential.
    Type: Application
    Filed: June 24, 2014
    Publication date: October 16, 2014
    Inventors: Jacques Henri Pelletier, Ana Lacoste, Alexandre Bes, Stephane Jean Louis Bechu, Jerome Sirou
  • Patent number: 8859432
    Abstract: Bare aluminum baffles are adapted for resist stripping chambers and include an outer aluminum oxide layer, which can be a native aluminum oxide layer or a layer formed by chemically treating a new or used bare aluminum baffle to form a thin outer aluminum oxide layer.
    Type: Grant
    Filed: October 31, 2012
    Date of Patent: October 14, 2014
    Assignee: Lam Research Corporation
    Inventors: Fred D. Egley, Michael S. Kang, Anthony L. Chen, Jack Kuo, Hong Shih, Duane Outka, Bruno Morel
  • Publication number: 20140299152
    Abstract: A plasma processing apparatus includes a slot plate of an antenna and the slot plate has slots arranged in a circumferential direction thereof with respect to an axis line. A microwave is introduced into a processing space from the antenna via a dielectric window, and a through hole is formed in the dielectric window along the axis line. A plasma processing method performed in the plasma processing apparatus includes performing a first cleaning process by radiating the microwave from the antenna and supplying a cleaning gas from a cleaning gas supply system; and performing a second cleaning process by radiating the microwave from the antenna and supplying the cleaning gas from the cleaning gas supply system. A first pressure of the processing space in the performing of the first cleaning process is set to be lower than a second pressure thereof in the performing of the second cleaning process.
    Type: Application
    Filed: October 17, 2012
    Publication date: October 9, 2014
    Inventors: Wataru Yoshikawa, Naoki Matsumoto
  • Publication number: 20140283872
    Abstract: Embodiments of the present invention generally include an apparatus for plasma cleaning and a method for plasma cleaning. Periodically, a PVD chamber may need to be cleaned to remove material that has built up in undesired locations within the chamber. Additionally, the sputtering target may need to be replaced. By removing the sputtering target and placing a grounded chamber lid in its place, the chamber may be plasma cleaned. The susceptor within the chamber may be electrically biased with an RF current. A stationary magnet assembly may be substantially centered behind the grounded lid to focus the cleaning plasma on the susceptor. Following the plasma cleaning, the magnet and lid may be removed and the sputtering target may be coupled to the chamber to continue processing.
    Type: Application
    Filed: May 13, 2014
    Publication date: September 25, 2014
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Martin DEEHAN, Matt Cheng-Hsiung TSAI, Nan LU, David T. OR, Mei CHANG
  • Patent number: 8841641
    Abstract: An extreme ultraviolet light source apparatus in which a target material is irradiated with a laser beam and turned into plasma and extreme ultraviolet light is emitted from the plasma may include: a chamber in which the extreme ultraviolet light is generated; an electromagnetic field generation unit for generating at least one of an electric field and a magnetic field inside the chamber; and a cleaning unit for charging and separating debris adhered to an optical element inside the chamber.
    Type: Grant
    Filed: May 28, 2013
    Date of Patent: September 23, 2014
    Assignee: Gigaphoton Inc.
    Inventors: Kouji Kakizaki, Shinji Nagai, Tatsuya Yanagida
  • Publication number: 20140251954
    Abstract: A system and method for providing pulsed excited species from a remote plasma unit to a reaction chamber are disclosed. The system includes a pressure control device to control a pressure at the remote plasma unit as reactive species from the remote plasma unit are pulsed to the reaction chamber.
    Type: Application
    Filed: March 8, 2013
    Publication date: September 11, 2014
    Applicant: ASM IP Holding B.V.
    Inventor: Jereld Lee Winkler
  • Publication number: 20140251953
    Abstract: A system and method for providing intermediate reactive species from a remote plasma unit to a reaction chamber are disclosed. The system includes a pressure control device to control a pressure at the remote plasma unit as intermediate reactive species from the remote plasma unit are provided to the reaction chamber.
    Type: Application
    Filed: March 8, 2013
    Publication date: September 11, 2014
    Applicant: ASM IP Holding B.V.
    Inventor: Jereld Lee Winkler
  • Patent number: 8828144
    Abstract: A process for cleaning a wafer having an uneven pattern at its surface. The process includes at least the steps of: cleaning the wafer with a cleaning liquid; substituting the cleaning liquid retained in recessed portions of the wafer with a water-repellent liquid chemical after cleaning; and drying the wafer, wherein the cleaning liquid contains 80 mass % or greater of a solvent having a boiling point of 55 to 200° C., and wherein the water-repellent liquid chemical supplied in the substitution step has a temperature of not lower than 40° C. and lower than a boiling point of the water-repellent liquid chemical thereby imparting water repellency at least to surfaces of the recessed portions.
    Type: Grant
    Filed: January 13, 2012
    Date of Patent: September 9, 2014
    Assignee: Central Grass Company, Limited
    Inventors: Soichi Kumon, Takashi Saio, Shinobu Arata, Masanori Saito, Hidehisa Nanai, Yoshinori Akamatsu
  • Patent number: 8821643
    Abstract: A method of cleaning a chamber used for annealing doped wafer substrates. In one embodiment the method provides removing dopants deposited in an annealing chamber after an annealing process of a doped substrate by flowing one or more volatilizing gases into the annealing chamber, applying heat to volatilize the deposited dopants in the annealing chamber, and exhausting the chamber to remove volatilized dopants from the annealing chamber.
    Type: Grant
    Filed: November 12, 2012
    Date of Patent: September 2, 2014
    Assignee: Applied Materials, Inc.
    Inventors: Balasubramanian Ramachandran, Tae Jung Kim, Jung Hoon Sun, Joung Woo Lee, Hwa Joong Lim, Sang Phil Lee, Joseph M. Ranish
  • Publication number: 20140238438
    Abstract: A system and method are described, for use in cleaning of a vacuum chamber. The method comprising connecting a vacuum chamber to a plasma generating unit via a plasma connection port and connecting the vacuum chamber to a high vacuum pumping unit via a pumping port. A flow conductance through the plasma connection port to the vacuum chamber is controlled to limit passage of charged particles and cleaning substances produced in the plasma generating unit, to thereby maintain a working pressure inside the vacuum chamber while cleaning the vacuum chamber by said cleaning substances.
    Type: Application
    Filed: February 26, 2013
    Publication date: August 28, 2014
    Applicant: Applied Materials Israel Ltd.
    Inventors: Lior Segev, Irit Ruach Nir, Guy Eitan
  • Publication number: 20140216498
    Abstract: Embodiments of the invention generally relate to methods of dry stripping boron-carbon films. In one embodiment, alternating plasmas of hydrogen and oxygen are used to remove a boron-carbon film. In another embodiment, co-flowed oxygen and hydrogen plasma is used to remove a boron-carbon containing film. A nitrous oxide plasma may be used in addition to or as an alternative to either of the above oxygen plasmas. In another embodiment, a plasma generated from water vapor is used to remove a boron-carbon film. The boron-carbon removal processes may also include an optional polymer removal process prior to removal of the boron-carbon films. The polymer removal process includes exposing the boron-carbon film to NF3 to remove from the surface of the boron-carbon film any carbon-based polymers generated during a substrate etching process.
    Type: Application
    Filed: February 6, 2013
    Publication date: August 7, 2014
    Inventors: Kwangduk Douglas LEE, Sudha RATHI, Ramprakash SANKARAKRISHNAN, Martin Jay SEAMONS, Irfan JAMIL, Bok Hoen KIM
  • Publication number: 20140202490
    Abstract: An analysis (e.g., LIBS) system includes a laser source generating a laser beam for creating a plasma at a location on a sample, and a spectrometer responsive to photons emitted by the sample at said location and having an output. A controller is responsive to a trigger signal and is configured to activate the laser source generating a series of laser pulses in a cleaning cycle, process the spectrometer output, and automatically terminate the cleaning cycle based on the spectrometer output.
    Type: Application
    Filed: January 21, 2013
    Publication date: July 24, 2014
    Inventor: David Day
  • Publication number: 20140196746
    Abstract: Embodiments of the present invention generally relate to a method for cleaning a processing chamber during substrate processing. During a first substrate processing step, a plasma is formed from a gas mixture of argon, helium, and hydrogen in the processing chamber. In a second substrate processing step, an argon plasma is formed in the processing chamber.
    Type: Application
    Filed: January 17, 2013
    Publication date: July 17, 2014
    Inventors: Robert Dinsmore, John C. Forster, Song-Moon Sun, Cheng-Hsiung Tsai, Glen T. Mori
  • Patent number: 8778206
    Abstract: In a substrate processing method, a polysilicon layer 38 on a wafer W is etched with a bromine cation 45a and a bromine radical 45b in plasma generated from a processing gas containing a hydrogen bromide gas, an oxygen gas, and a nitrogen trifluoride gas, and then, is ashed with an oxygen radical 46 and a nitrogen radical 47 in plasma generated from a processing gas containing an oxygen gas and a nitrogen gas. Thereafter, the polysilicon layer 38 is etched with a fluorine cation 48a and a fluorine radical 48b in plasma generated from a processing gas containing an argon gas and a nitrogen trifluoride gas. While the polysilicon layer 38 is ashed, an oxidation process is performed on a silicon bromide generated by etching the polysilicon layer 38 with the bromine cation 45a.
    Type: Grant
    Filed: February 20, 2012
    Date of Patent: July 15, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Eiichi Nishimura, Takashi Sone, Fumiko Yamashita
  • Patent number: 8769749
    Abstract: A cleaning device for cleaning a mixing vaporizer is provided. The mixing vaporizer includes a first hole that a raw material flows in therethrough, a second hole that carrier gas flows in therethrough, a mixing space that generates mixed gas by mixing the raw material and the carrier gas, a carrier gas nozzle that is connected to the second hole for supplying the carrier gas to the mixing space, and a third hole that discharges the mixed gas. The cleaning device cleans the mixing vaporizer by circulating a cleaning solution through at least one the first hole, the second hole, and the third hole.
    Type: Grant
    Filed: June 12, 2009
    Date of Patent: July 8, 2014
    Assignee: STS Co., Ltd.
    Inventor: Dong Young Ryou
  • Publication number: 20140182619
    Abstract: Plasma is generated using elemental hydrogen, a weak oxidizing agent, and a fluorine containing gas. An inert gas is introduced to the plasma downstream of the plasma source and upstream of a showerhead that directs gas mixture into the reaction chamber where the mixture reacts with the high-dose implant resist. The process removes both the crust and bulk resist layers at a high strip rate, and leaves the work piece surface substantially residue free with low silicon loss.
    Type: Application
    Filed: February 3, 2014
    Publication date: July 3, 2014
    Applicant: Novellus Systems, Inc.
    Inventors: Haruhiro Harry Goto, David Cheung
  • Patent number: 8764905
    Abstract: A method and system for cleaning lithography components including contacting a substrate having residue including organic compounds and graphitic carbon deposited on a surface thereof with hydrogen peroxide vapor. The hydrogen peroxide vapor is irradiated with electromagnetic radiation having a wavelength in the range of 100 nm to 350 nm forming hydroxyl radicals. The hydroxyl radicals react with the residue to remove the residue from the surface of the substrate.
    Type: Grant
    Filed: March 14, 2013
    Date of Patent: July 1, 2014
    Assignee: Intel Corporation
    Inventors: Paul A. Zimmerman, Christof G. Krautschik
  • Publication number: 20140166616
    Abstract: Methods and apparatus for processing using a remote plasma source are disclosed. The apparatus includes an outer chamber, a remote plasma source, and a showerhead. Inert gas ports within the showerhead assembly can be used to alter the concentration and energy of reactive radical or reactive neutral species generated by the remote plasma source in different regions of the showerhead. This allows the showerhead to be used to apply a surface treatment to different regions of the surface of a substrate. Varying parameters such as the remote plasma parameters, the inert gas flows, pressure, and the like allow different regions of the substrate to be treated in a combinatorial manner.
    Type: Application
    Filed: December 17, 2012
    Publication date: June 19, 2014
    Applicant: INTERMOLECULAR, INC.
    Inventors: Sunil Shanker, Tony P. Chiang, Chi-I Lang, Sandip Niyogi
  • Publication number: 20140166046
    Abstract: A method for cleaning collector mirrors in an EUV light generator in which a target is made into a plasma state and EUV light generated is collected by a collector mirror, the method being adopted to the EUV light generator for cleaning contaminants adhering thereto, the method comprising: preparing at least two collector mirrors; locating one of the mirrors at an EUV light condensing position while locating the other mirror at a cleaning position; determining whether the mirror at the cleaning position is cleaned while determining whether the mirror at the light condensing position requires cleaning; and once determined that the mirror at the cleaning position is cleaned and the mirror at the light condensing position requires cleaning, conveying the mirror at the light condensing position and requiring cleaning to the cleaning position while conveying the mirror at the cleaning position and having been cleaned to the light condensing position.
    Type: Application
    Filed: August 12, 2013
    Publication date: June 19, 2014
    Applicant: GIGAPHOTON INC.
    Inventors: Takeshi Asayama, Hiroshi Someya, Masato Moriya, Hideo Hoshino, Tamotsu Abe
  • Patent number: 8753449
    Abstract: Embodiments of the invention provide methods for curing an ultra low-k dielectric film within a UV processing chamber. In one embodiment, the method includes depositing an ultra low-k dielectric layer on a substrate in a deposition chamber, and subjecting the deposited ultra low-k dielectric layer to a UV curing processes in a UV processing chamber. The method includes stabilizing the UV processing chamber by flowing an oxygen gas and a purge gas into the UV processing chamber at a flow ratio of about 1:50000 to about 1:100. While flowing the oxygen-doped purge gas, the substrate is exposed to UV radiation to cure the deposited ultra low-k dielectric layer. The inventive oxygen-doped purge curing process provides an alternate pathway to build silicon-oxygen network of the ultra low-k dielectric material, thereby accelerating cross-linking efficiency without significantly affecting the film properties of the deposited ultra low-k dielectric material.
    Type: Grant
    Filed: May 29, 2013
    Date of Patent: June 17, 2014
    Assignee: Applied Materials, Inc.
    Inventors: Mahendra Chhabra, Scott A. Hendrickson, Sanjeev Baluja, Tsutomu Kiyohara, Juan Carlos Rocha-Alvarez, Alexandros T. Demos
  • Publication number: 20140158154
    Abstract: A method of modifying an electrostatic chuck that electrostatically attracts a processing object is provided. The method includes a gas supplying step of supplying a gas containing hydrogen (H) and oxygen (O) into a chamber accommodating the electrostatic chuck having a surface that is fluorinated; and a modifying step of turning the gas supplied to the chamber into plasma using a high frequency power, exposing the electrostatic chuck to the plasma, and modifying the fluorinated surface of the electrostatic chuck.
    Type: Application
    Filed: December 9, 2013
    Publication date: June 12, 2014
    Applicant: Tokyo Electron Limited
    Inventors: Takamitsu KONDO, Shingo Shimogama
  • Publication number: 20140144877
    Abstract: One possible embodiment of the invention could be a plasma reactor chamber and method of operating same wherein the plasma reactor chamber comprises a set of chamber walls and a door that when closed seals the plasma reactor chamber air-tight; one or more RF electrodes with at least one RF electrode being a power RF electrode; and a structure that moves one or more specimens proximate to the one or more RF electrodes.
    Type: Application
    Filed: November 25, 2013
    Publication date: May 29, 2014
    Inventor: Gregory DeLarge
  • Patent number: 8728244
    Abstract: A method for descaling a metal strip, in which the metal strip is guided in a direction of conveyance through at least two plasma descaling units, in which it is subjected to a plasma descaling, where the plasma descaling is followed directly or indirectly by an operation in which the metal strip is coated with a coating metal by hot dip galvanizing of the metal strip. The metal strip is coated with the coating metal by a vertical passage process. The coating metal is retained as a coating bath in a coating tank by an electromagnetic seal. The metal strip preheated by the plasma descaling is guided, without exposure to air, from the plasma descaling into a protective gas atmosphere of a continuous furnace necessary for the coating.
    Type: Grant
    Filed: April 14, 2011
    Date of Patent: May 20, 2014
    Assignee: SMS Siemag Aktiengesellschaft
    Inventors: Holger Behrens, Rolf Brisberger, Klaus Adolf Frommann, Matthias Kretschmer, Rüdiger Zerbe, Evgeny Stepanovich Senokosov, Andrei Evgenievich Senokosov
  • Patent number: 8721797
    Abstract: Improved methods and apparatus for stripping photoresist and removing ion implant related residues from a work piece surface are provided. According to various embodiments, the workpiece is exposed to a passivation plasma, allowed to cool for a period of time, and then exposed to an oxygen-based or hydrogen-based plasma to remove the photoresist and ion implant related residues. Aspects of the invention include reducing silicon loss, leaving little or no residue while maintaining an acceptable strip rate. In certain embodiments, methods and apparatus remove photoresist material after high-dose ion implantation processes.
    Type: Grant
    Filed: December 8, 2010
    Date of Patent: May 13, 2014
    Assignee: Novellus Systems, Inc.
    Inventors: David Cheung, Haoquan Fang, Jack Kuo, Ilia Kalinovski, Ted Li, Andrew Yao
  • Patent number: 8721796
    Abstract: Embodiments of the present invention generally include an apparatus for plasma cleaning and a method for plasma cleaning. The apparatus can include a lid body having a first surface for facing a pedestal during cleaning and a second surface opposite the first surface and substantially parallel to the first surface, the second surface having a first indentation sized to receive a magnet assembly, one or more handles coupled to the second surface of the lid body, and the magnet assembly resting in the first indentation. The method can include removing a sputtering target from the processing chamber, sealing the processing chamber, introducing a gas into the processing chamber, applying an RF bias to a pedestal within the processing chamber, maintaining the pedestal at a substantially constant temperature, and removing material from the pedestal to clean the pedestal.
    Type: Grant
    Filed: October 21, 2009
    Date of Patent: May 13, 2014
    Assignee: Applied Materials, Inc.
    Inventors: Martin Deehan, Matt Cheng-Hsiung Tsai, Nan Lu, David T. Or, Mei Chang
  • Patent number: 8716143
    Abstract: A method of cleaning a low dielectric constant film in a lithographic process includes providing a dielectric film having thereover a resist composition, the dielectric film having a dielectric constant no greater than about 4.0, and stripping the resist composition to leave a substantially silicon-containing ash residue on the dielectric film. The method then includes contacting the ash residue with plasma comprising an ionized, essentially pure noble gas such as helium to remove the resist residue without substantially affecting the underlying dielectric film.
    Type: Grant
    Filed: February 10, 2012
    Date of Patent: May 6, 2014
    Assignee: Novellus Systems, Inc.
    Inventors: David Cheung, Kirk J. Ostrowski
  • Publication number: 20140116335
    Abstract: A UV irradiation apparatus for processing a semiconductor substrate includes: a UV lamp unit; a reaction chamber disposed under the UV lamp unit; a gas ring with nozzles serving as a first electrode between the UV lamp unit and the reaction chamber; a transmission window supported by the gas ring; an RF shield which covers a surface of the transmission window facing the UV lamp unit; a second electrode disposed in the reaction chamber for generating a plasma between the first and second electrodes; and an RF power source for supplying RF power to one of the first or second electrode.
    Type: Application
    Filed: October 31, 2012
    Publication date: May 1, 2014
    Applicant: ASM IP Holding B.V.
    Inventors: Naoto Tsuji, Yasushi Fukasawa