Plasma Cleaning Patents (Class 134/1.1)
  • Patent number: 9543157
    Abstract: According to various embodiments, a method for processing a carrier may include: performing a dry etch process in a processing chamber to remove a first material from the carrier by an etchant, the processing chamber including an exposed inner surface including aluminum and the etchant including a halogen; and, subsequently, performing a hydrogen plasma process in the processing chamber to remove a second material from at least one of the carrier or the inner surface of the processing chamber.
    Type: Grant
    Filed: September 30, 2014
    Date of Patent: January 10, 2017
    Assignee: INFINEON TECHNOLOGIES AG
    Inventors: Michael Renner, Lothar Brencher
  • Patent number: 9528183
    Abstract: Implementations described herein generally relate to methods and apparatus for in-situ removal of unwanted deposition buildup from one or more interior surfaces of a semiconductor substrate processing chamber. In one implementation, a method for removing cobalt or cobalt containing deposits from one or more interior surfaces of a substrate processing chamber after processing a substrate disposed in the substrate processing chamber is provided. The method comprises forming a reactive species from the fluorine containing cleaning gas mixture, permitting the reactive species to react with the cobalt and/or the cobalt containing deposits to form cobalt fluoride in a gaseous state and purging the cobalt fluoride in gaseous state out of the substrate processing chamber.
    Type: Grant
    Filed: April 17, 2014
    Date of Patent: December 27, 2016
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Kai Wu, Bo Zheng, Sang Ho Yu, Avgerinos V. Gelatos, Bhushan N. Zope, Jeffrey Anthis, Benjamin Schmiege
  • Patent number: 9524877
    Abstract: A dry etching method according to the present invention is for etching a silicon layer as a processing target in a processing room, characterized by supplying an iodine heptafluoride-containing etching gas from a gas supply source at a supply pressure of 66 kPa to 0.5 MPa, evacuating the processing room to an internal pressure lower than the supply pressure of the etching gas and, while maintaining the etching gas at the supply pressure, introducing the etching gas into the evacuated processing room so as to etch the silicon layer by the etching gas. It is possible by this dry etching method to etch the silicon upon adiabatic expansion of the etching gas under mild pressure conditions, with no fear of equipment load and equipment cost increase, and achieve good uniformity of in-plane etching amount distribution.
    Type: Grant
    Filed: January 24, 2014
    Date of Patent: December 20, 2016
    Assignee: Central Glass Company, Limited
    Inventors: Akiou Kikuchi, Isamu Mori, Masanori Watari
  • Patent number: 9502233
    Abstract: In order to extend the cycle of gas cleaning for a film-forming device, a method for manufacturing a semiconductor device includes: a substrate carry-in process for carrying a substrate into a processing chamber; a film forming process for laminating at least two types of films on the substrate in the processing chamber; a substrate carry-out process for carrying the film laminated substrate out from the processing chamber; an etching process for supplying an etching gas into the processing chamber while the substrate is not in the processing chamber after the substrate carry-out process. The etching process includes a first cleaning process for supplying a fluorine-containing gas activated by plasma excitation into the processing chamber as an etching gas; and a second cleaning process for supplying a fluorine-containing gas activated by heat into the processing chamber as an etching gas.
    Type: Grant
    Filed: March 22, 2013
    Date of Patent: November 22, 2016
    Assignee: HITACHI KOKUSAI ELECTRIC, INC.
    Inventors: Atsushi Sano, Masayuki Asai, Masahiro Yonebayashi
  • Patent number: 9502221
    Abstract: A method includes receiving a voltage and current measured at an output of an RF generator of a first plasma system and calculating a first model etch rate based on the voltage and current, and a power. The method further includes receiving a voltage and current measured at an output of the RF generator of a second plasma system, determining a second model etch rate based on the voltage and current at the output of the RF generator of the second plasma system, and comparing the second model etch rate with the first model etch rate. The method includes adjusting a power at the output of the RF generator of the second plasma system to achieve the first model etch rate associated with the first plasma system upon determining that the second model etch rate does not match the first model etch rate. The method is executed by a processor.
    Type: Grant
    Filed: April 2, 2014
    Date of Patent: November 22, 2016
    Assignee: Lam Research Corporation
    Inventors: John C. Valcore, Jr., Harmeet Singh, Henry Povolny
  • Patent number: 9441290
    Abstract: A system and method for the removal of deposited material from the walls of a plasma chamber is disclosed. The system may have two modes; a normal operating mode and a cleaning mode. During the cleaning mode, the plasma is biased at a higher potential than the walls, thereby causing energetic ions from the plasma to strike the plasma wall, dislodging material previously deposited. This may be achieved through the use of one or more electrodes disposed in the plasma chamber, which are maintained at a first voltage during normal operating mode, and a second, higher voltage, during the cleaning mode.
    Type: Grant
    Filed: May 29, 2013
    Date of Patent: September 13, 2016
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventors: Bon-Woong Koo, Min-Sung Jeon, Yong-Tae Kim, Timothy J. Miller
  • Patent number: 9435035
    Abstract: Metalized plastic substrates, and methods thereof are provided herein. The method includes providing a plastic having a plurality of accelerators dispersed in the plastic. The accelerators have a formula ABO3, wherein A is one or more elements selected from Groups 9, 10, and 11 of the Periodic Table of Elements, B is one or more elements selected from Groups 4B and 5B of the Periodic Table of Elements, and O is oxygen. The method includes the step of irradiating a surface of plastic substrate to expose at least a first accelerator. The method further includes plating the irradiated surface of the plastic substrate to form at least a first metal layer on the at least first accelerator, and then plating the first metal layer to form at least a second metal layer.
    Type: Grant
    Filed: May 9, 2011
    Date of Patent: September 6, 2016
    Assignee: BYD Company Limited
    Inventors: Qing Gong, Liang Zhou, Weifeng Miao, Xiong Zhang
  • Patent number: 9431357
    Abstract: A high frequency module wiring board includes a wiring section for high frequency transmission, and a solder resist layer formed upon the wiring section. The solder resist layer covers the wiring section so as to have an opening section at a part of the wiring section in a region extending within a predetermined distance from an input/output terminal of a chip component.
    Type: Grant
    Filed: August 23, 2012
    Date of Patent: August 30, 2016
    Assignee: Panasonic Corporation
    Inventors: Ryosuke Shiozaki, Suguru Fujita
  • Patent number: 9411250
    Abstract: A radiation system is configured to generate a radiation beam. The radiation system includes a radiation source configured to generate a plasma that emits radiation and debris, and a radiation collector configured to direct collected radiation to a radiation beam emission aperture. A magnetic field generator is configured to generate a magnetic field with a gradient in magnetic field strength to direct the plasma away from the radiation collector.
    Type: Grant
    Filed: April 30, 2009
    Date of Patent: August 9, 2016
    Assignee: ASML NETHERLANDS B.V.
    Inventors: Vadim Yevgenyevich Banine, Erik Roelof Loopstra, Vladimir Vitalevich Ivanov, Vladimir Mihailovitch Krivtsun
  • Patent number: 9401272
    Abstract: A cleaning method includes (a) providing a process chamber after forming an oxide film on a substrate in the process chamber formed by a reaction tube and a manifold supporting the reaction tube by performing a cycle a predetermined number of times, the cycle including supplying a source gas to the substrate through a first nozzle in the manifold extending upward to an inside of the reaction tube, and supplying an oxidizing gas to the substrate through a second nozzle in the manifold extending upward to the inside of the reaction tube; and (b) cleaning an inside of the process chamber. The step (b) includes a first cleaning process of supplying a hydrogen fluoride gas into the reaction tube through the second nozzle; and a second cleaning process of supplying a hydrogen fluoride gas onto an inner wall surface of the manifold through a third nozzle disposed in the manifold.
    Type: Grant
    Filed: March 24, 2014
    Date of Patent: July 26, 2016
    Assignee: HITACHI KOKUSAI ELECTRIC INC.
    Inventors: Masato Terasaki, Naonori Akae, Hideki Horita
  • Patent number: 9397011
    Abstract: Systems and methods for reducing copper contamination in a substrate processing system include performing a plasma process on a substrate in a processing chamber of a substrate processing system. A component is located in the processing chamber and is made of an alloy including copper. The plasma process uses a process gas mixture including molecular hydrogen. Prior to performing the plasma process on the substrate and before the substrate is arranged in the processing chamber, the component is conditioned in the processing chamber using a conditioning plasma process that includes a process gas mixture including molecular oxygen and forming gas.
    Type: Grant
    Filed: April 13, 2015
    Date of Patent: July 19, 2016
    Assignee: Lam Research Corporation
    Inventors: Haoquan Fang, Yuk-Hong Ting, David Cheung
  • Patent number: 9373497
    Abstract: Methods are provided for cleaning metal regions overlying semiconductor substrates. A method for removing material from a metal region comprises heating the metal region, forming a plasma from a gas comprising hydrogen and carbon dioxide, and exposing the metal region to the plasma.
    Type: Grant
    Filed: February 5, 2013
    Date of Patent: June 21, 2016
    Assignee: Novellus Systems, Inc.
    Inventors: David Chen, Haruhiro Harry Goto, Martina Su, Frank Greer, Shamsuddin Alokozai
  • Patent number: 9355922
    Abstract: A method of conditioning internal surfaces of a plasma source includes flowing first source gases into a plasma generation cavity of the plasma source that is enclosed at least in part by the internal surfaces. Upon transmitting power into the plasma generation cavity, the first source gases ignite to form a first plasma, producing first plasma products, portions of which adhere to the internal surfaces. The method further includes flowing the first plasma products out of the plasma generation cavity toward a process chamber where a workpiece is processed by the first plasma products, flowing second source gases into the plasma generation cavity. Upon transmitting power into the plasma generation cavity, the second source gases ignite to form a second plasma, producing second plasma products that at least partially remove the portions of the first plasma products from the internal surfaces.
    Type: Grant
    Filed: October 14, 2014
    Date of Patent: May 31, 2016
    Assignee: Applied Materials, Inc.
    Inventors: Soonam Park, Yufei Zhu, Edwin C. Suarez, Nitin K. Ingle, Dmitry Lubomirsky, Jiayin Huang
  • Patent number: 9349978
    Abstract: An organic light emitting display device including: a plurality of first wirings extending in a first direction; and a plurality of second wirings extending in a second direction that crosses the first direction, wherein at least one of the plurality of first wirings includes a first conductive layer and a second conductive layer that extends from an upper portion of the first conductive layer to the same layer as the first conductive layer or a lower layer than the first conductive layer.
    Type: Grant
    Filed: March 14, 2013
    Date of Patent: May 24, 2016
    Assignee: Samsung Display Co., Ltd.
    Inventors: Ki-Nyeng Kang, Na-Young Kim, Dong-Gyu Kim, Soo-Beom Jo
  • Patent number: 9330941
    Abstract: A manufacturing method of a package carrier is provided. A supporting board having an upper surface which a patterned circuit layer formed thereon is provided. A portion of the upper surface is exposed by the patterned circuit layer. An insulating layer and a conducting layer located at a first surface of the insulating layer are laminated onto the patterned circuit layer. The patterned circuit layer and the exposed portion of the upper surface are covered by the insulating layer. Plural conductive connection structures are formed on the patterned circuit layer. Plural of pads respectively connecting the conductive connection structures and exposing a portion of the first surface of the insulating layer is defined by patterning the conductive layer. The supporting board is removed so as to expose a second surface of the insulating layer. The second surface and a bonding surface of the patterned circuit layer are coplanar.
    Type: Grant
    Filed: May 10, 2012
    Date of Patent: May 3, 2016
    Assignee: Subtron Technology Co., Ltd.
    Inventor: Shih-Hao Sun
  • Patent number: 9327324
    Abstract: A system and method are described, for use in cleaning of a vacuum chamber. The method comprising connecting a vacuum chamber to a plasma generating unit via a plasma connection port and connecting the vacuum chamber to a high vacuum pumping unit via a pumping port. A flow conductance through the plasma connection port to the vacuum chamber is controlled to limit passage of charged particles and cleaning substances produced in the plasma generating unit, to thereby maintain a working pressure inside the vacuum chamber while cleaning the vacuum chamber by said cleaning substances.
    Type: Grant
    Filed: February 26, 2013
    Date of Patent: May 3, 2016
    Assignee: Applied Materials Israel Ltd.
    Inventors: Lior Segev, Irit Ruach Nir, Guy Eitan
  • Patent number: 9305814
    Abstract: A method of inspecting a substrate processing apparatus, which is capable of preventing product substrates from being supplied to a substrate processing chamber to be inspected, and inspecting the substrate processing chamber in desired timing. Product wafers W (product substrates) are inhibited from being conveyed into a processing unit to be inspected (substrate processing chamber) according to a selection of a menu option “QC MODE” by an operator, or in response to instruction from a host computer. A QC wafer is permitted to be conveyed from a carrier connected to an associated load port 24 into the processing unit to be inspected, in response to a notification the fact that a wafer stored in the carrier connected to the associated load port 24 is the QC wafer.
    Type: Grant
    Filed: December 20, 2005
    Date of Patent: April 5, 2016
    Assignee: TOKYO ELECTRON LIMITED
    Inventor: Masahiro Numakura
  • Patent number: 9299558
    Abstract: A method of depositing a film that includes heterogeneously seasoning a processing chamber is provided. After a processing chamber is cleaned, a heterogeneous seasoning deposition is performed to stabilize the deposition rate drift before a substrate may be positioned therein. A film, such as a SiOx film, may then be deposited on the substrate. The substrate may then be removed from the processing chamber and replaced with a second substrate. A film may then be deposited on the second substrate. The substrate positioning, deposition, and substrate removal cycle may be repeated until the cleaning cycle is complete. The processing chamber may be cleaned a second time, and another series of substrates may be similarly processed.
    Type: Grant
    Filed: March 21, 2014
    Date of Patent: March 29, 2016
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Lai Zhao, Gaku Furuta, Qunhua Wang, Soo Young Choi
  • Patent number: 9275859
    Abstract: The present invention relates to an apparatus and method for manufacturing a semiconductor light-emitting device using a neutral particle beam. According to the present invention, since the kinetic energy of the neutral particle beam is provided as a portion of the reaction energy for causing a nitride semiconductor single crystal thin film to be formed on a substrate, and the reaction energy is not provided as heat energy by heating a substrate as in the prior art, the substrate may be treated at a relatively low temperature. Furthermore, elements such as Si, Mg, and the like, which are solid elements required for doping are sprayed onto the substrate from a source which generates solid elements for doping together with the neutral particle beam to achieve high doping efficiency at a lower temperature.
    Type: Grant
    Filed: May 30, 2011
    Date of Patent: March 1, 2016
    Assignee: KOREA BASIC SCIENCE INSTITUTE
    Inventors: Suk Jae Yoo, Seong Bong Kim
  • Patent number: 9245796
    Abstract: A method of fabricating an interconnection structure according to an embodiment of the present invention, includes patterning a dielectric layer to form a first recession region, including a first nest-shaped recession region having a first width and a first line-shaped recession region having a second width, which is less than the first width. A guide spacer layer is formed on sidewalls of the first recession region to provide a second recession region including a second nest-shaped recession region in the first nest-shaped recession region. A self-assembling block copolymer material is formed to fill the second nest-shaped recession region. The self-assembling block copolymer material is annealed to form a polymer block domain and a polymer block matrix, surrounding the polymer block domain. The polymer block domain is removed to expose a portion of the dielectric layer. The exposed portion of the dielectric layer is etched to form a via cavity.
    Type: Grant
    Filed: October 28, 2015
    Date of Patent: January 26, 2016
    Assignee: SK Hynix Inc.
    Inventors: Keun Do Ban, Cheol Kyu Bok, Min Ae Yoo, Jong Cheon Park
  • Patent number: 9208992
    Abstract: Methods for regulating ion energies in a plasma chamber are disclosed. An exemplary method includes placing a substrate in a plasma chamber, forming a plasma in the plasma chamber, controllably switching power to the substrate so as to apply a periodic voltage function to the substrate, and modulating, over multiple cycles of the periodic voltage function, the periodic voltage function responsive to a desired distribution of energies of ions at the surface of the substrate so as to effectuate the desired distribution of ion energies on a time-averaged basis.
    Type: Grant
    Filed: January 27, 2015
    Date of Patent: December 8, 2015
    Assignee: Advanced Energy Industries, Inc.
    Inventors: Victor Brouk, Randy Heckman, Daniel J. Hoffman
  • Patent number: 9202744
    Abstract: A method of fabricating an interconnection structure according to an embodiment of the present invention, includes patterning a dielectric layer to form a first recession region, including a first nest-shaped recession region having a first width and a first line-shaped recession region having a second width, which is less than the first width. A guide spacer layer is formed on sidewalls of the first recession region to provide a second recession region including a second nest-shaped recession region in the first nest-shaped recession region. A self-assembling block copolymer material is formed to fill the second nest-shaped recession region. The self-assembling block copolymer material is annealed to form a polymer block domain and a polymer block matrix, surrounding the polymer block domain. The polymer block domain is removed to expose a portion of the dielectric layer. The exposed portion of the dielectric layer is etched to form a via cavity.
    Type: Grant
    Filed: December 17, 2014
    Date of Patent: December 1, 2015
    Assignee: SK Hynix Inc.
    Inventors: Keun Do Ban, Cheol Kyu Bok, Min Ae Yoo, Jong Cheon Park
  • Patent number: 9170051
    Abstract: A reflow oven used to join electronic components to a substrate includes a chamber housing having surfaces that are in contact with heated air mixed with contaminants, including flux, and an intermediate layer selectively applied to the surfaces of the chamber housing. The reflow oven may include fabricating the intermediate layer with a foam material, including foaming polymers, e.g., epoxy, polyurethane, polyester, and silicone, or a non-foam material, including non-foaming polymers, e.g., polytetrafluoroethylene and polyimide. A method of treating surfaces of a reflow oven exposed to contaminants, including flux, is further disclosed.
    Type: Grant
    Filed: April 2, 2012
    Date of Patent: October 27, 2015
    Assignee: ILLINOIS TOOL WORKS INC.
    Inventors: Douglas Ngai, Joo Yong Tay, Wen-Feng Liu, Roberto P. Loera, Steven Dwade Cook
  • Patent number: 9169553
    Abstract: A substrate processing device comprises a reaction vessel 11 forming a space receiving a substrate 1 and adapted to have a plurality of reaction gases supplied thereto to perform desired processing of the substrate, an exhaust port 16 formed in the reaction vessel 11 for exhausting the reaction vessel 11, and a gas supply system 70A, 70B for supplying at least a plurality of reaction gases into the reaction vessel 11, the gas supply system 70A, 70B including a cleaning gas supply unit for supplying a cleaning gas to perform desired processing of the substrate 1 to thereby remove adherents in the reaction vessel 11, and a post-processing gas supply unit for supplying a post-processing gas capable of removing the elements contained in the cleaning gas remaining in the reaction vessel 11 after the adherents have been removed by supplying the cleaning gas, the post-processing gas containing all of the reaction gases used in performing desired processing of the substrate.
    Type: Grant
    Filed: June 8, 2011
    Date of Patent: October 27, 2015
    Assignee: HITACHI KOKUSAI ELECTRIC INC.
    Inventors: Masanori Sakai, Nobuhito Shima, Kazuyuki Okuda
  • Patent number: 9142392
    Abstract: A self-cleaning radio frequency (RF) plasma source for a semiconductor manufacturing process is described. Various examples provide an RF plasma source comprising an RF antenna and a rotatable dielectric sleeve disposed around the RF antenna. The dielectric is positioned between a process chamber and cleaning chamber such that portions of the surface of the dielectric may be exposed to either the process chamber or the cleaning chamber. As material is deposited on the outer surface of the dielectric in the process chamber, the dielectric sleeve is rotated so that the portion containing the buildup is exposed to the cleaning chamber. A sputtering process in the cleaning chamber removes the buildup from the surface of the sleeve. The dielectric sleeve is then rotated so that it exposed to the process chamber. Other embodiments are disclosed and claimed.
    Type: Grant
    Filed: August 5, 2013
    Date of Patent: September 22, 2015
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventor: Neil J. Bassom
  • Patent number: 9123507
    Abstract: The invention relates to an arrangement for transporting radicals. The arrangement includes a plasma generator and a guiding body. The plasma generator includes a chamber (2) in which a plasma may be formed. The chamber has an inlet (5) for receiving an input gas, and one or more outlets (6) for removal of at least one of the plasma and radicals created therein. The guiding body is hollow and is arranged for guiding radicals formed in the plasma towards an area or volume at which contaminant deposition is to be removed. The chamber inlet is coupled to a pressure device (40) for providing a pulsed pressure into the chamber so as to create a flow in the guiding body.
    Type: Grant
    Filed: March 20, 2013
    Date of Patent: September 1, 2015
    Assignee: MAPPER LITHOGRAPHY IP B.V.
    Inventors: Pieter Kruit, Marc Smits
  • Patent number: 9105581
    Abstract: In a method of processing a substrate, a first plasma may be generated from a first reaction gas. A second plasma may be generated from a second reaction gas. The first plasma and the second plasma may be individually applied to the substrate. Thus, each of the at least two remote plasma sources may generate at least two plasmas under different process recipes, which may be optimized for processing the substrate. As a result, the substrate processed using the optimal plasmas may have a desired shape.
    Type: Grant
    Filed: June 5, 2014
    Date of Patent: August 11, 2015
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sam Hyung-sam Kim, Gon-Jun Kim, Volynets Vladmir, Yong-Kyun Park, In-Cheol Song, Sang-Heon Lee, Sang-Jean Jeon
  • Patent number: 9105451
    Abstract: A plasma processing apparatus performs generating plasma only with the carrier gas without the supply of the processing gas after the end of processing to the substrate.
    Type: Grant
    Filed: January 19, 2011
    Date of Patent: August 11, 2015
    Assignee: SUMITOMO HEAVY INDUSTRIES, LTD.
    Inventors: Hiroyuki Makino, Masaru Tanaka
  • Publication number: 20150144154
    Abstract: Embodiments of the disclosure generally relate to methods of removing etch by-products from the plasma processing chamber using carbon monoxide or carbon dioxide. In one embodiment, a method for dry cleaning a processing chamber includes exposing a chamber component disposed within the processing chamber in absence of a substrate disposed therein to a first cleaning gas mixture comprising carbon monoxide or carbon dioxide, wherein a portion of the chamber component has a film layer or residues deposited thereon, and the film layer or residues comprises a refractory metal and/or a metal silicide.
    Type: Application
    Filed: October 24, 2014
    Publication date: May 28, 2015
    Inventors: Kee Young CHO, Sang Wook KIM, Joo Won HAN, Han Soo CHO
  • Publication number: 20150136172
    Abstract: The present invention relates to a method for cleaning a phase plate (1) for a transmission electron microscope wherein said phase plate is etched before being irradiated for the first time in the TEM, and is then held in an ultra-pure holding atmosphere until the irradiation in the TEM.
    Type: Application
    Filed: November 19, 2014
    Publication date: May 21, 2015
    Applicant: FEI Company
    Inventors: Patrick Kurth, Steffen Pattai, Joerg Wamser
  • Publication number: 20150136171
    Abstract: A plasma ashing system includes a process chamber including a substrate. A carrier gas supply supplies a carrier gas to the processing chamber. A plasma source is configured to create plasma to the process chamber. A liquid injection source is configured to at least one of inject a compound into the plasma or supply the compound into the plasma. The compound is normally a liquid at room temperature and at atmospheric pressure. A controller is configured to control the liquid injection source, to expose the substrate to the plasma for a predetermined period and to purge reactants from the processing chamber after the predetermined period.
    Type: Application
    Filed: November 18, 2013
    Publication date: May 21, 2015
    Applicant: Lam Research Corporation
    Inventors: Carlo Waldfried, Orlando Escorcia
  • Publication number: 20150136173
    Abstract: The cleaning process of cleaning an imprinting mold including a release layer coupled via siloxane bonds to a substrate of that release layer includes a first cleaning step and a second cleaning step. In the first cleaning step, the angle of contact of the surface of the release layer with water is made small, and in the second cleaning step, the alkali cleaning agent is brought in contact with the release layer that has gone through the first cleaning step.
    Type: Application
    Filed: December 15, 2014
    Publication date: May 21, 2015
    Inventors: Noriko YAMADA, Akiko AMANO
  • Publication number: 20150135993
    Abstract: A method of treating particles by disaggregating, deagglomerating, exfoliating, cleaning, functionalising, doping, decorating and/or repairing said particles, in which the particles are subjected to plasma treatment in a treatment chamber containing a plurality of electrodes which project therein and wherein plasma is generated by said electrodes which are moved during the plasma treatment to agitate the particles.
    Type: Application
    Filed: November 12, 2014
    Publication date: May 21, 2015
    Inventors: John Buckland, Dylan Walters
  • Patent number: 9017486
    Abstract: A method for cleaning a deposition chamber includes forming a deposited layer over an interior surface of the deposition chamber, wherein the deposited layer has a deposited layer stress and a deposited layer modulus; forming a cleaning layer over the deposited layer, wherein a material comprising the cleaning layer is selected such that the cleaning layer adheres to the deposited layer, and has a cleaning layer stress and a cleaning layer modulus, wherein the cleaning layer stress is higher than the deposited layer stress, and wherein the cleaning layer modulus is higher than the deposited layer modulus; and removing the deposited layer and the cleaning layer from the interior of the deposition chamber.
    Type: Grant
    Filed: September 9, 2010
    Date of Patent: April 28, 2015
    Assignee: International Business Machines Corporation
    Inventors: Tien-Jen Cheng, Zhengwen Li, Keith Kwong Hon Wong
  • Patent number: 9017487
    Abstract: A method for cleaning a deposition chamber includes forming a deposited layer over an interior surface of the deposition chamber, wherein the deposited layer has a deposited layer stress and a deposited layer modulus; forming a cleaning layer over the deposited layer, wherein a material comprising the cleaning layer is selected such that the cleaning layer adheres to the deposited layer, and has a cleaning layer stress and a cleaning layer modulus, wherein the cleaning layer stress is higher than the deposited layer stress, and wherein the cleaning layer modulus is higher than the deposited layer modulus; and removing the deposited layer and the cleaning layer from the interior of the deposition chamber.
    Type: Grant
    Filed: February 28, 2013
    Date of Patent: April 28, 2015
    Assignee: International Business Machines Corporation
    Inventors: Tien-Jen J. Cheng, Zhengwen Li, Keith Kwong Hon Wong
  • Patent number: 9013046
    Abstract: Internal nodes of a constituent integrated circuit (IC) package of a multichip module (MCM) are protected from excessive charge during plasma cleaning of the MCM. The protected nodes are coupled to an internal common node of the IC package by respectively associated discharge paths. The common node is connected to a bond pad of the IC package. During MCM assembly, and before plasma cleaning, this bond pad receives a wire bond to a ground bond pad on the MCM substrate.
    Type: Grant
    Filed: July 18, 2013
    Date of Patent: April 21, 2015
    Assignees: Sandia Corporation, Honeywell Federal Manufacturing & Technologies, LLC
    Inventors: Christopher T. Rodenbeck, Michael Girardi
  • Publication number: 20150101634
    Abstract: A system and method of improving the performance and extending the lifetime of an ion source is disclosed. The ion source includes an ion source chamber, a suppression electrode and a ground electrode. In the processing mode, the ion source chamber may be biased to a first positive voltage, while the suppression electrode is biased to a negative voltage to attract positive ions from within the chamber through an aperture and toward the workpiece. In the cleaning mode, the ion beam is defocused so that it strikes the suppression electrode and the ground electrode. The voltages applied to the ion source chamber and the electrodes are pulsed to minimize the possibility of glitches during this cleaning mode.
    Type: Application
    Filed: October 10, 2013
    Publication date: April 16, 2015
    Inventors: Christopher J. Leavitt, Peter F. Kurunczi
  • Patent number: 9006690
    Abstract: A method is disclosed for reducing particle contamination in an ion implantation system, wherein an ion beam is created via the ion source operating in conjunction with an extraction electrode assembly. A cathode voltage is applied to the ion source for generating ions therein, and a suppression voltage is applied to the extraction assembly for preventing electrons in the ion beam from being drawn into the ion source. The suppression voltage is selectively modulated, thereby inducing a current flow or an arc discharge through the extraction assembly to remove deposits on surfaces thereof to mitigate subsequent contamination of workpieces.
    Type: Grant
    Filed: May 3, 2013
    Date of Patent: April 14, 2015
    Assignee: Axcelis Technologies, Inc.
    Inventors: Neil K. Colvin, Jincheng Zhang
  • Publication number: 20150096268
    Abstract: A method of preparing a sealing surface of a container for application of a seal. A lip of the container may be heated, rinsed, and dried to establish the sealing surface. Then the seal may be applied to the sealing surface.
    Type: Application
    Filed: October 3, 2013
    Publication date: April 9, 2015
    Applicant: Owens-Brockway Glass Container Inc.
    Inventors: Brian J Brozell, Brian J Chisholm, Joseph E Olsavsky
  • Publication number: 20150096589
    Abstract: Embodiments of the invention generally relate to methods of removing and/or cleaning a substrate surface having refractory metal portions disposed thereon using water vapor plasma treatment. In one embodiment, a method for cleaning a surface of a substrate includes positioning a substrate in a processing chamber, the substrate having a refractory metal disposed thereon, forming a process gas comprising water vapor, maintaining a process pressure in the processing chamber above about 0.5 Torr, forming a plasma in the process gas to form an activated water vapor and exposing the refractory metal to the activated water vapor.
    Type: Application
    Filed: October 3, 2013
    Publication date: April 9, 2015
    Inventors: Danny Chien LU, Yi ZHOU, Changhun LEE
  • Patent number: 8999068
    Abstract: Provided is a chamber cleaning method capable of efficiently removing a CF-based shoulder deposit containing Si and Al deposited on an outer periphery of an ESC. A mixed gas of an O2 gas and a F containing gas is supplied toward an outer periphery 24a of an ESC 24 at a pressure ranging from about 400 mTorr to about 800 mTorr; plasma generated from the mixed gas is irradiated onto the outer periphery 24a of the ESC 24; an O2 single gas as a mask gas is supplied to the top surface of ESC 24 except the outer periphery 24a; and the shoulder deposit 50 adhered to the outer periphery 24a is decomposed and removed while preventing the top surface of ESC 24 except the outer periphery 24a from being exposed to a F radical.
    Type: Grant
    Filed: September 1, 2010
    Date of Patent: April 7, 2015
    Assignee: Tokyo Electron Limited
    Inventors: Masanobu Honda, Hidetoshi Hanaoka, Taichi Hirano, Takanori Mimura, Manabu Iwata, Taketoshi Okajo
  • Patent number: 8992689
    Abstract: Methods for removing halogen-containing residues from a substrate are provided. By combining the heat-up and plasma abatement steps, the manufacturing throughput can be improved. Further, by appropriately controlling the pressure in the abatement chamber, the removal efficiency can be improved as well.
    Type: Grant
    Filed: February 29, 2012
    Date of Patent: March 31, 2015
    Assignee: Applied Materials, Inc.
    Inventors: Adauto Diaz, Andrew Nguyen, Benjamin Schwarz, Eu Jin Lim, Jared Ahmad Lee, James P. Cruse, Li Zhang, Scott M. Williams, Xiaoliang Zhuang, Zhuang Li
  • Patent number: 8980009
    Abstract: The invention is directed to a method for at least partially removing a contamination layer (15) from an optical surface (14a) of an EUV-reflective optical element (14) by bringing a cleaning gas into contact with the contamination layer. In the method, a jet (20) of cleaning gas is directed to the contamination layer (15) for removing material from the contamination layer (15). The contamination layer (15) is monitored for generating a signal indicative of the thickness of the contamination layer (15) and the jet (20) of cleaning gas is controlled by moving the jet (20) of cleaning gas relative to the optical surface (14a) using this signal as a feedback signal. A cleaning arrangement (19 to 24) for carrying out the method is also disclosed. The invention also relates to a method for generating a jet (20) of cleaning gas and to a corresponding cleaning gas generation arrangement.
    Type: Grant
    Filed: March 7, 2013
    Date of Patent: March 17, 2015
    Assignees: Carl Zeiss SMT GmbH, ASML Netherlands B.V.
    Inventors: Dirk Heinrich Ehm, Arnold Storm, Johannes Hubertus Josephina Moors, Bastiaan Theodoor Wolschrijn, Thomas Stein, Edwin te Sligte
  • Patent number: 8981251
    Abstract: An atmospheric pressure plasma source includes a body including a distal end, a blade extending from the distal end and terminating at a blade edge, a plasma-generating unit, and a plasma outlet communicating with the plasma-generating unit and positioned at the distal end. The plasma outlet is oriented at a downward angle generally toward the blade edge, wherein the plasma outlet provides a plasma path directed generally toward the blade edge. The plasma may be applied to the coating at an interface between the coating and an underlying substrate. While applying the plasma, the blade is moved into contact with the coating at the interface, wherein the blade assists in separating the coating from the substrate while one or more components of the coating react with energetic species of the plasma.
    Type: Grant
    Filed: November 6, 2013
    Date of Patent: March 17, 2015
    Assignee: AP Solutions, Inc.
    Inventors: Peter Joseph Yancey, Jeffrey Kingsley
  • Publication number: 20150069273
    Abstract: Free radicals that combine with debris that is created by converting a target mixture to plasma that emits EUV light are received at a first opening defined by a first end of a conduit, the conduit including a material that passes the free radicals and the conduit including a sidewall that extends away from the first opening and defines at least one other opening, the at least one other positioned to release the free radicals toward an element that accumulates the debris on a surface. The free radicals in the conduit are directed toward the at least one other opening. The free radicals are passed through the at least one other opening and to the surface of the element to remove the debris from the surface of the element without removing the element from the EUV light source.
    Type: Application
    Filed: September 9, 2013
    Publication date: March 12, 2015
    Inventors: Silvia De Dea, Alexander I. Ershov, Brandon Verhoff, Gregory Wilson, Bruno M. La Fontaine
  • Patent number: 8974602
    Abstract: The present invention discloses a method of reducing contamination in a CVD chamber. The method comprises cleaning the CVD chamber with first cleaning gases containing NF3; removing the particles in the CVD chamber with second cleaning gases containing N2; further removing the particles in the CVD chamber with third cleaning gases containing O2; and seasoning an amorphous carbon layer with mixed gases containing C2H2 and an inert gas.
    Type: Grant
    Filed: September 30, 2013
    Date of Patent: March 10, 2015
    Assignee: Shanghia Huali Microelectronics Corporation
    Inventors: Yadan Zhu, Jun Zhou
  • Patent number: 8969209
    Abstract: A method for removing oxide is described. A substrate is provided, including an exposed portion whereon a native oxide layer has been formed. A removing oxide process is performed to the substrate using nitrogen trifluoride (NF3) and ammonia (NH3) as a reactant gas, wherein the volumetric flow rate of NF3 is greater than that of NH3.
    Type: Grant
    Filed: August 13, 2013
    Date of Patent: March 3, 2015
    Assignee: United Microelectronics Corp.
    Inventors: Yen-Chu Chen, Teng-Chun Tsai, Chien-Chung Huang, Keng-Jen Liu
  • Patent number: 8961694
    Abstract: The invention relates to a plasma generator (1) for cleaning an object. The plasma generator (1) comprises a plasma chamber (2) and a support structure (6) arranged in the plasma chamber for supporting the object (7) to be cleaned. Further, the plasma generator comprises an electromagnetic shield (5a, 5b, 5c) counteracting a flow of charged plasma particles flowing from a plasma generating region towards the object, and a plasma source (8). In addition, the plasma generator comprises an additional plasma source (9,10) to form a composition of plasma sources that are arranged to generate in the plasma generating region plasmas, respectively, that mutually interact during operation of the plasma generator so as to force plasma particles to flow in a diffusely closed flow path.
    Type: Grant
    Filed: December 21, 2007
    Date of Patent: February 24, 2015
    Assignee: Nederlandse Organisatie Voor Toegepast-Natuurwetenschappelijk Onderzoek TNO
    Inventors: Fokko Pieter Wieringa, Norbertus Benedictus Koster, Roland van Vliet, Hubert Adriaan van Mierlo
  • Publication number: 20150050812
    Abstract: A method for cleaning a processing chamber, for example, a strip chamber, configured for processing a wafer is provided which includes the steps of introducing an oxygen-containing gas into the processing chamber, generating an oxygen plasma from the oxygen-containing gas in the processing chamber, establishing a pressure of the oxygen plasma in the processing chamber of at least 1 Torr and maintaining the pressure of at least 1 Torr for at least 40 seconds. A system is also provided including a strip chamber for receiving and stripping the wafer and including a gas inlet and plasma generator means, as well as a controller configured for performing, when no wafer is present in the strip chamber, controlling inflow of an oxygen-containing gas into the processing chamber through the gas inlet and controlling the plasma generator means to generate an oxygen plasma.
    Type: Application
    Filed: August 13, 2013
    Publication date: February 19, 2015
    Applicant: GLOBALFOUNDRIES Inc.
    Inventor: Elliot John Smith
  • Patent number: 8956461
    Abstract: An apparatus used for rapid removal of polymer films from plasma confinement rings while minimizing erosion of other plasma etch chamber components is disclosed. The apparatus includes a center assembly, an electrode plate, a confinement ring stack, a first plasma source, and a second plasma source. The electrode plate is affixed to a surface of the center assembly with a channel defined along the external circumference therein. A first plasma source is disposed within the channel and along the external circumference of the center assembly, wherein the first plasma source is configured to direct a plasma to the inner circumferential surface of the confinement ring stack. A second plasma source located away from the first plasma source is configured to perform processing operations on a substrate within the etch chamber.
    Type: Grant
    Filed: December 16, 2010
    Date of Patent: February 17, 2015
    Assignee: Lam Research Corporation
    Inventors: Eric Hudson, Andreas Fischer