For Endpoint Detection Patents (Class 156/345.25)
  • Patent number: 11835465
    Abstract: Provided is a detecting device of gas components that includes a gas component detecting unit for detection of a light emission of plasma that is formed by re-excitation downstream of an arrangement position of an object to be processed.
    Type: Grant
    Filed: February 15, 2019
    Date of Patent: December 5, 2023
    Assignee: HITACHI HIGH-TECH CORPORATION
    Inventors: Yoshifumi Ogawa, Yutaka Kouzuma, Masaru Izawa
  • Patent number: 11769652
    Abstract: Devices and methods for controlling wafer uniformity in plasma-based process is disclosed. In one example, a device for plasma-based processes is disclosed. The device includes: a housing defining a process chamber and a gas distribution plate (GDP) arranged in the process chamber. The housing comprises: a gas inlet configured to receive a process gas, and a gas outlet configured to expel processed gas. The GDP is configured to distribute the process gas within the process chamber. The GDP has a plurality of holes evenly distributed thereon. The GDP comprises a first zone and a second zone. The first zone is closer to the gas outlet than the second zone. At least one hole in the first zone is closed.
    Type: Grant
    Filed: July 29, 2019
    Date of Patent: September 26, 2023
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Jr-Sheng Chen, An-Chi Li, Shih-Che Huang, Chih-Hsien Hsu, Zhi-Hao Huang, Ming Chih Wang, Yu-Pei Chiang, Chun Yan Chen
  • Patent number: 11398369
    Abstract: An RF plasma generator configured to ignite and maintain a plasma from one or more processing gases is disclosed. A switch mode power supply is configured to convert a DC voltage from a DC power source to an RF voltage. A resonance circuit is configured to deliver an amount of power to an ignited plasma from the switch mode power supply. A plasma controller is configured to operate the power supply to apply an RF voltage corresponding to the amount of power to the one or more processing gases through the resonance circuit. The RF voltage increases in amplitude and decreases in frequency until the one or more processing gasses are ignited into a plasma. Responsive to detecting ignition of the plasma, the plasma controller is further configured to continuously adjust the frequency of the switch mode power supply to deliver the amount of power to the ignited plasma. The amount of power is a substantially constant amount of power.
    Type: Grant
    Filed: June 25, 2019
    Date of Patent: July 26, 2022
    Assignee: Applied Materials, Inc.
    Inventors: Rongping Wang, Siamak Salimian, Tom K. Cho
  • Patent number: 11226234
    Abstract: Implementations disclosed describe a system comprising a first optical device to receive an input beam of light, the input beam having a plurality of spectral components of light, and cause the input beam to disperse into a plurality of spectral beams, wherein each of the plurality of spectral beams corresponds to one of the plurality of spectral components and propagates along a spatial path that is different from spatial paths of other spectral beams, and a second optical device to collect a portion of each of the spectral beams, wherein the collected portion depends on the spatial path of the respective spectral beam, and form an output beam of light from the collected portion of each of the spectral beams, wherein a spectral profile of the output beam is different from a spectral profile of the input beam of light.
    Type: Grant
    Filed: January 22, 2020
    Date of Patent: January 18, 2022
    Assignee: Applied Materials, Inc.
    Inventors: Guoheng Zhao, Edward W. Budiarto, Todd J. Egan
  • Patent number: 11135679
    Abstract: An apparatus for layered manufacture of a three-dimensional product includes a build chamber having a window, a build platform within the build chamber, a calibration device that is physically separated from the build chamber, an optical system including a beam source and a scanning apparatus, and a mobile base. The mobile base is configured to position the scanning apparatus at two spaced part positions including a (1) production position and a (2) calibration position. At the production position the scanning apparatus is configured to receive an energy beam from the beam source and to reflect and scan the energy beam through the window and to a build surface over the build platform to create a layer of the three-dimensional product. At the calibration position the scanning apparatus is configured to reflect the energy beam to the calibration device but not through the window.
    Type: Grant
    Filed: June 6, 2018
    Date of Patent: October 5, 2021
    Assignee: 3D Systems, Inc.
    Inventors: Jonas Van Vaerenbergh, Sam Coeck, Brawley Valkenborgs, Rudy Raeymaekers
  • Patent number: 10925146
    Abstract: An ion source chamber with an embedded heater is disclosed. The heater comprises a radiant heater, such as a heat lamp or light emitting diodes, and is disposed within the ion source chamber. The radiant heat from the heater warms the interior surfaces of the ion source chamber. Further, the ion source chamber is designed such that the plasma is generated in a portion of the ion source chamber that does not contain the heater. Additionally, a controller may be in communication with the heater so as to maintain the ion source chamber at a desired temperature when a plasma is not being generated in the ion source chamber.
    Type: Grant
    Filed: December 17, 2019
    Date of Patent: February 16, 2021
    Assignee: Applied Materials, Inc.
    Inventors: Kevin Ryan, Todd MacEachern, Jeffrey Krampert, Joseph Dzengeleski
  • Patent number: 10490466
    Abstract: A semiconductor manufacturing method according to an embodiment includes forming a first film on a semiconductor substrate. The semiconductor manufacturing method includes forming cavities in the first film. The semiconductor manufacturing method includes forming a second film inside the cavities by a CVD method using first gas containing a component of the second film, detecting a first time point at which the second film blocks openings of the cavities in forming the second film, and ending forming of the second film at a second time point at which a predetermined time has elapsed from the first time point.
    Type: Grant
    Filed: January 24, 2018
    Date of Patent: November 26, 2019
    Assignee: Toshiba Memory Corporation
    Inventors: Kazumasa Ito, Seiichi Omoto, Takanobu Itoh, Ryota Nakanishi
  • Patent number: 10466600
    Abstract: An overlay alignment detection apparatus for a display device and an exposure process system are provided, the overlay alignment detection apparatus including a bearing frame for bearing the display device, a control circuit, a detection assembly and an analysis circuit. The control circuit is to send control commands to the detection assembly depending on pre-stored coordinate information of a reference point within an overlay area of the display device in response to the bearing frame being at an idle time among processes; the detection assembly is to be moved to the overlay area on the bearing frame according to the control commands sent by the control circuit, to acquire images of the overlay area, and to send the acquired images to the analysis circuit; and the analysis circuit is to analyze and process an overlay alignment condition of the display device, with the images sent by the detection assembly.
    Type: Grant
    Filed: June 8, 2016
    Date of Patent: November 5, 2019
    Assignees: BOE TECHNOLOGY GROUP CO., LTD., BEIJING BOE OPTOELECTRONICS TECHNOLOGY CO., LTD.
    Inventors: Lei Zhang, Wukun Dai, Lei Chen, Jiapeng Li, Yiping Dong
  • Patent number: 10319649
    Abstract: Methods and systems for etching substrates using a remote plasma are described. Remotely excited etchants are formed in a remote plasma and flowed through a showerhead into a substrate processing region to etch the substrate. Optical emission spectra are acquired from the substrate processing region just above the substrate. The optical emission spectra may be used to determine an endpoint of the etch, determine the etch rate or otherwise characterize the etch process. A weak plasma may be present in the substrate processing region. The weak plasma may have much lower intensity than the remote plasma. In cases where no bias plasma is used above the substrate in an etch process, a weak plasma may be ignited near a viewport disposed near the side of the substrate processing region to characterize the etchants.
    Type: Grant
    Filed: April 11, 2017
    Date of Patent: June 11, 2019
    Assignee: Applied Materials, Inc.
    Inventors: Tae Seung Cho, Soonam Park, Junghoon Kim, Dmitry Lubomirsky, Shankar Venkataraman
  • Patent number: 10283615
    Abstract: Provided are methods and apparatuses for removing a polysilicon layer on a wafer, where the wafer can include a nitride layer, a low-k dielectric layer, an oxide layer, and other films. A plasma of a hydrogen-based species and a fluorine-based species is generated in a remote plasma source, and the wafer is exposed to the plasma at a relatively low temperature to limit the formation of solid byproduct. In some implementations, the wafer is maintained at a temperature below about 60° C. The polysilicon layer is removed at a very high etch rate, and the selectivity of polysilicon over the nitride layer and the oxide layer is very high. In some implementations, the wafer is supported on a wafer support having a plurality of thermal zones configured to define a plurality of different temperatures across the wafer.
    Type: Grant
    Filed: November 11, 2015
    Date of Patent: May 7, 2019
    Assignee: Novellus Systems, Inc.
    Inventors: Dengliang Yang, Kwame Eason, Faisal Yaqoob, Joon Hong Park
  • Patent number: 10269599
    Abstract: A semiconductor manufacturing apparatus includes a chamber, a view port window on a sidewall of the chamber and configured to receive an optical emission spectroscopy (OES); and an air distributor located between the view port window and an inner space of the chamber. The air distributor includes a hollow region aligned with the transparent window and configured to generate an air curtain in the hollow region to isolate the view port from the inner space.
    Type: Grant
    Filed: June 20, 2014
    Date of Patent: April 23, 2019
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LTD.
    Inventors: Chao-Tzung Tsai, Tzu Ken Lin, I-Chang Wu, Ching-Lun Lai, Li-Jia Liou
  • Patent number: 10181412
    Abstract: Apparatus, methods, and computer programs for semiconductor processing in a capacitively-coupled plasma chamber are provided. A chamber includes a bottom radio frequency (RF) signal generator, a top RF signal generator, and an RF phase controller. The bottom RF signal generator is coupled to the bottom electrode in the chamber, and the top RF signal generator is coupled to the top electrode. Further, the bottom RF signal is set at a first phase, and the top RF signal is set at a second phase. The RF phase controller is operable to receive the bottom RF signal and operable to set the value of the second phase. Additionally, the RF phase controller is operable to track the first phase and the second phase to maintain a time difference between the maximum of the top RF signal and the minimum of the bottom RF signal at approximately a predetermined constant value, resulting in an increase of the negative ion flux to the surface of the wafer.
    Type: Grant
    Filed: August 14, 2015
    Date of Patent: January 15, 2019
    Assignee: Lam Research Corporation
    Inventors: Alexei Marakhtanov, Mirzafer K. Abatchev, Rajinder Dhindsa, Eric Hudson, Andrew D. Bailey, III
  • Patent number: 9953887
    Abstract: In situ wafer metrology is conducted to reliably obtain deposition thickness for each successive layer in a multi-layer deposition. A wafer to be processed is positioned in a processing station of a deposition process tool, the process tool having a reflectometer metrology apparatus for optically determining thickness of a deposited layer on the wafer. Prior to commencing a deposition, the wafer is aligned in the processing station such that an optical metrology spot generated by the reflectometer metrology apparatus will align with an unpatterned central region of a die on a wafer during a deposition conducted on the wafer in the tool. Thereafter, the thickness of a deposited layer on the wafer is reliably measured and monitored in situ.
    Type: Grant
    Filed: April 15, 2016
    Date of Patent: April 24, 2018
    Assignee: LAM RESEARCH CORPORATION
    Inventors: Boaz Kenane, Edward Augustyniak
  • Patent number: 9899191
    Abstract: A plasma processing apparatus includes a processing chamber including a dielectric window; a coil-shaped RF antenna, provided outside the dielectric window; a substrate supporting unit provided in the processing chamber; a processing gas supply unit; an RF power supply unit for supplying an RF power to the RF antenna to generate a plasma of the processing gas by an inductive coupling in the processing chamber, the RF power having an appropriate frequency for RF discharge of the processing gas; a correction coil, provided at a position outside the processing chamber where the correction coil is to be coupled with the RF antenna by an electromagnetic induction, for controlling a plasma density distribution on the substrate in the processing chamber; a switching device provided in a loop of the correction coil; and a switching control unit for on-off controlling the switching device at a desired duty ratio by pulse width modulation.
    Type: Grant
    Filed: April 11, 2014
    Date of Patent: February 20, 2018
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Yohei Yamazawa, Chishio Koshimizu, Masashi Saito, Kazuki Denpoh, Jun Yamawaku
  • Patent number: 9741629
    Abstract: A plasma processing method of processing layer structure previously formed on an upper surface of a wafer disposed in a processing chamber within a vacuum container and having a layer to be processed and an undercoating layer disposed under the layer by plasma in the processing chamber, includes a step of calculating an etching amount of the layer to be processed at time during processing of any wafer by using result of comparing real pattern data with detection pattern data obtained by combining two patterns of intensity having as parameter wavelength of interference light obtained by processing the layer structure containing three or more undercoating layers having different thickness and the layer to be processed in advance of the processing of the any wafer and a real pattern of intensity having as parameter the wavelength of the interference light obtained during processing of the layer structure on the any wafer.
    Type: Grant
    Filed: September 11, 2015
    Date of Patent: August 22, 2017
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Tatehito Usui, Kosa Hirota, Satomi Inoue, Shigeru Nakamoto, Kousuke Fukuchi
  • Patent number: 9721768
    Abstract: Disclosed is an apparatus for optical emission spectroscopy which includes a light measuring unit measuring light in a process chamber performing a plasma process on a substrate, a light analyzing unit receiving light collected from the light measuring unit to analyze a plasma state, a control unit receiving an output signal of the light analyzing unit to process the output signal, and a light collecting controller disposed between the process chamber and the light measuring unit so as to be combined with the light measuring unit. The light collecting controller controls the light collected to the light measuring unit.
    Type: Grant
    Filed: April 2, 2016
    Date of Patent: August 1, 2017
    Assignees: SAMSUNG ELECTRONICS CO., LTD., INDUSTRY-ACADEMIC COOPERATION FOUNDATION, YONSEI UNIVERSITY
    Inventors: In-Joong Kim, Ilgu Yun
  • Patent number: 9711423
    Abstract: A via hole is accurately formed in an interlayer insulating film over a metal wiring. Of emission spectra of plasma to be used for dry etching of the interlayer insulating film, the emission intensities of at least CO, CN, and AlF are monitored such that an end point of the dry etching of the interlayer insulating film is detected based on the emission intensities thereof.
    Type: Grant
    Filed: July 8, 2016
    Date of Patent: July 18, 2017
    Assignee: Renesas Electronics Corporation
    Inventors: Toshikazu Hanawa, Kazuhide Fukaya, Kentaro Yamada
  • Patent number: 9651598
    Abstract: A method and a device for detecting an edge glow phenomenon in a composite panel, including at least one cable for introducing current into the said composite panel, at least one current return cable, at least one insulating panel bracketed to the composite panel, and means for detecting an edge glow on an outer surface of the said composite panel.
    Type: Grant
    Filed: March 1, 2013
    Date of Patent: May 16, 2017
    Assignee: Airbus Operations (S.A.S.)
    Inventor: Francois Pons
  • Patent number: 9617966
    Abstract: A high frequency plasma ignition device for the ignition of a fuel/air mixture in a combustion chamber of an internal combustion engine, having a series resonant circuit of an electric inductor and an electric capacitor connected in series, and a high frequency generator with a first electrical terminal and a second electrical terminal for the resonant excitation of the series resonant circuit, a first electrical contact point being provided in which one end of the capacitor and one end of the inductor are connected to one another electrically. An electrical connecting device connects the high-frequency generator to the inductor and to the capacitor such that an output signal of the high-frequency generator is applied to the series resonant circuit. An electric voltage is applied across the capacitor for igniting a plasma between free ends of a first and second electrode. An electric voltage is further applied to maintain the plasma after ignition.
    Type: Grant
    Filed: April 23, 2013
    Date of Patent: April 11, 2017
    Assignee: Rosenberger Hochfrequenztechnik GmbH & Co. KG
    Inventors: Gunnar Armbrecht, Michael Wollitzer, Thomas Schmid
  • Patent number: 9605341
    Abstract: Methods and apparatus for processing a substrate in a physical vapor deposition (PVD) chamber are provided herein. In some embodiments, a process kit shield used in a substrate processing chamber may include a shield body having an inner surface and an outer surface, a process kit shield impedance match device coupled between the shield body and ground, wherein the process kit shield impedance match device is configured to adjust a bias voltage of the process kit shield, a cavity formed on the outer surface of the shield body, and one or more magnets disposed within the cavity.
    Type: Grant
    Filed: March 6, 2013
    Date of Patent: March 28, 2017
    Assignee: APPLIED MATERIALS, INC.
    Inventor: Keith A. Miller
  • Patent number: 9564295
    Abstract: A diagnosis system for pulsed plasma includes an optical emission sensor (OES) to receive light generated the pulsed plasma, the pulsed plasma having been generated in accordance with a pulse signal, a digitizer to synchronize the electrical signal with the pulse signal, and an analyzer to analyze the synchronized electrical signal.
    Type: Grant
    Filed: August 17, 2015
    Date of Patent: February 7, 2017
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Dong-soo Lee, Sung-ho Jang, Byeong-hee Kim, Doug-yong Sung, Dong-ok Shin
  • Patent number: 9543225
    Abstract: Systems and methods for processing a semiconductor wafer includes a plasma processing chamber. The plasma processing chamber includes an exterior, an interior region with a wafer receiving mechanism and a viewport disposed on a sidewall of the plasma processing chamber providing visual access from the exterior to the wafer received on the wafer receiving mechanism. A camera is mounted to the viewport of the plasma processing chamber on the exterior and coupled to an image processor. The image processor includes pattern recognition logic to match images of emerging pattern captured and transmitted by the camera, to a reference pattern and to generate signal defining an endpoint when a match is detected. A system process controller coupled to the image processor and the plasma processing chamber receives the signal from the image processor and adjusts controls of one or more resources to stop the etching operation.
    Type: Grant
    Filed: April 29, 2014
    Date of Patent: January 10, 2017
    Assignee: Lam Research Corporation
    Inventors: Alan Jeffrey Miller, Evelio Sevillano, Jorge Luque, Andrew D Bailey, III, Qing Xu
  • Patent number: 9443704
    Abstract: A stable etching process is realized at an earlier stage by specifying the combination of wavelength and time interval, which exhibits a minimum prediction error of etching processing result within a short period. For this, the combination of wavelength and time interval is generated from wavelength band of plasma emission generated upon etching of the specimen, the prediction error upon prediction of etching process result is calculated with respect to each combination of wavelength and time interval, the wavelength combination is specified based on the calculated prediction error, the prediction error is further calculated by changing the time interval with respect to the specified wavelength combination, and the combination of wavelength and time interval, which exhibits the minimum value of calculated prediction error is selected as the wavelength and the time interval used for predicting the etching processing process.
    Type: Grant
    Filed: March 18, 2016
    Date of Patent: September 13, 2016
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Ryoji Asakura, Kenji Tamaki, Akira Kagoshima, Daisuke Shiraishi
  • Patent number: 9117635
    Abstract: An electrode plate for a plasma etching is formed as a disc shape having a predetermined thickness, a plurality of gas holes penetrating a surface of the electrode plate perpendicularly to the surface are provided on different circumferences of a plurality of concentric circles, the electrode plate is divided in a radial direction of the electrode plate into two or more regions, types of gas holes provided in the two or more regions are different from each other by region.
    Type: Grant
    Filed: September 26, 2011
    Date of Patent: August 25, 2015
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Naoyuki Satoh, Nobuyuki Nagayama, Keiichi Nagakubo
  • Patent number: 9095038
    Abstract: An ICP A plasma reactor having an enclosure wherein at least part of the ceiling forms a dielectric window. A substrate support is positioned within the enclosure below the dielectric window. An RF power applicator is positioned above the dielectric window to radiate RF power through the dielectric window and into the enclosure. A plurality of gas injectors are distributed uniformly above the substrate support to supply processing gas into the enclosure. A circular baffle is situated inside the enclosure and positioned above the substrate support but below the plurality of gas injectors so as to redirect flow of the processing gas.
    Type: Grant
    Filed: December 26, 2011
    Date of Patent: July 28, 2015
    Assignee: ADVANCED MICRO-FABRICATION EQUIPMENT, INC. ASIA
    Inventors: Shi Gang, Songlin Xu, TuQiang Ni
  • Patent number: 9091595
    Abstract: Among the multiple OES data wavelengths, an analysis device identifies the wavelength of light emissions from a substance contained in the plasma from among multiple light emission wavelengths within the chamber by way of the steps of: measuring the light emission within the chamber during etching processing of the semiconductor wafer; finding the time-based fluctuation due to changes over time on each wavelength in the measured intensity of the light emissions in the chamber; comparing the time-based fluctuations in the wavelength of the light emitted from the pre-specified substance; and by using the comparison results, identifying the wavelength of the light emitted from the substance caused by light emission within the chamber.
    Type: Grant
    Filed: July 18, 2013
    Date of Patent: July 28, 2015
    Assignee: HITACHI HIGH-TECHNOLOGIES CORPORATION
    Inventors: Ryoji Asakura, Kenji Tamaki, Akira Kagoshima, Daisuke Shiraishi, Toshio Masuda
  • Patent number: 9082593
    Abstract: An electrode having a gas discharge function, where the degree of freedom related to a maximum gas flow rate is abundant, an electrode cover member may be thinned, and a change of a gas behavior according to time is difficult to be generated in a processing chamber during gas introduction. The electrode includes: a base material having a plurality of gas holes; and an electrode cover member having a plurality of gas holes respectively corresponding to the plurality of gas holes of the base material in a one-to-one manner, fixed to the base material, and disposed facing a processing space in which the object is plasma-processed, wherein a gas hole diameter of the electrode cover member is larger than a gas hole diameter of the base material.
    Type: Grant
    Filed: March 30, 2012
    Date of Patent: July 14, 2015
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Daisuke Hayashi, Toshifumi Ishida, Norihiko Amikura
  • Patent number: 9076827
    Abstract: Apparatus and method for control of epitaxial growth parameters, for example during manufacture of light emitting diodes (LEDs). Embodiments include PL measurement of a group III-V film following growth while a substrate at an elevated temperature is in a transfer chamber of a multi-chamber cluster tool. In other embodiments, a film thickness measurement, a contactless resistivity measurement, and a particle and/or roughness measure is performed while the substrate is disposed in the transfer chamber. One or more of the measurements performed in the transfer chamber are temperature corrected to room temperature by estimating the elevated temperature based on emission from a GaN base layer disposed below the group III-V film. In other embodiments, temperature correction is based on an absorbance band edge of the GaN base layer determined from collected white light reflectance spectra. Temperature corrected metrology is then used to control growth processes.
    Type: Grant
    Filed: September 12, 2011
    Date of Patent: July 7, 2015
    Assignee: Applied Materials, Inc.
    Inventors: David P. Bour, Alain Duboust, Alexey Goder
  • Patent number: 9040317
    Abstract: A method includes performing a patterning step on a layer using a process gas. When the patterning step is performed, a signal strength is monitored, wherein the signal strength is from an emission spectrum of a compound generated from the patterning step. The compound includes an element in the patterned layer. At a time the signal strength is reduced to a pre-determined threshold value, the patterning step is stopped.
    Type: Grant
    Filed: March 23, 2012
    Date of Patent: May 26, 2015
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Keng-Ying Liao, Szu-Hung Yang, Chiung Wen Hsu
  • Patent number: 9017513
    Abstract: A plasma processing chamber is provided comprising one or more process gas inlets, one or more exhaust gas outlets, plasma generating hardware configured to generate a process gas plasma in a plasma processing portion of the plasma processing chamber, a wafer processing stage positioned in the plasma processing chamber, and a plasma monitoring probe assembly. The plasma monitoring probe assembly comprises an electrically conductive probe and an insulator sleeve assembly positioned about the electrically conductive probe. The insulator sleeve assembly comprises a plasma-side sleeve portion and a subterranean sleeve portion positioned about distinct portions of a longitudinal probe axis of the electrically conductive probe of the probe assembly.
    Type: Grant
    Filed: November 7, 2012
    Date of Patent: April 28, 2015
    Assignee: Lam Research Corporation
    Inventor: Simon Gosselin
  • Publication number: 20150104648
    Abstract: The presently claimed invention provides a metal-free and low stress thick film of diamond-like carbon (DLC). The diamond-like carbon layer of the present invention has a wide range of applications such as automotive coating, hydrophobic-hydrophilic tuning, solar photovoltaic, decorative coating, protective coating and bio-compatible coating. The presently claimed invention further provides a method and an apparatus to grow a metal-free and low stress thick film of diamond-like carbon by performing deposition and plasma etching to stack more than one diamond-like carbon layers together in the same chamber.
    Type: Application
    Filed: September 19, 2014
    Publication date: April 16, 2015
    Inventor: Zhonghui Alex WANG
  • Patent number: 8974628
    Abstract: An optical monitor device of the present microwave plasma etching device has: a monitor head located in a position more radially inward than the edge of a semiconductor wafer W mounted on a susceptor, more radially outward than a coaxial pipe, and above a cover plate; an optical waveguide for monitoring provided vertically below the monitor head, and longitudinally traversing the cooling plate, a dielectric plate, and a dielectric window; and a monitor main body optically connected to the monitor head via an optical fiber.
    Type: Grant
    Filed: August 24, 2011
    Date of Patent: March 10, 2015
    Assignee: Tokyo Electron Limited
    Inventors: Toshihisa Nozawa, Takahiro Senda, Shinya Nishimoto, Munetaka Yamagami, Kazuki Moyama
  • Publication number: 20150020970
    Abstract: Plasma processing of plural substrates is performed in a plasma processing apparatus, which is provided with a plasma processing chamber having an antenna electrode and a lower electrode for placing and retaining the plural substrates in turn within the plasma processing chamber, a gas feeder for feeding processing gas into the processing chamber, a vacuum pump for discharging gas from the processing chamber via a vacuum valve, and a solenoid coil for forming a magnetic field within the processing chamber. At least one of the plural substrates is placed on the lower electrode, and the processing gas is fed into the processing chamber. RF power is fed to the antenna electrode via a matching network to produce a plasma within the processing chamber in which a magnetic field has been formed by the solenoid coil. This placing of at least one substrate and this feeding of the processing gas are then repeated until the plasma processing of all of the plural substrates is completed.
    Type: Application
    Filed: October 7, 2014
    Publication date: January 22, 2015
    Inventors: Eiji IKEGAMI, Shoji IKUHARA, Takeshi SHIMADA, Kenichi KUWABARA, Takao ARASE, Tsuyoshi MATSUMOTO
  • Publication number: 20140295583
    Abstract: In a plasma processing method and apparatus for processing a film to be processed contained in a film structure preliminarily formed on an upper surface of a wafer mounted in a processing chamber, by using plasma, a residual film thickness at an arbitrary time is calculated using a result of comparing detective differential waveform pattern data with actual differential waveform pattern data. The detective differential waveform pattern data is produced by using two basic differential waveform pattern data which respectively use, as parameters, residual thicknesses of the films to be processed in film structures having underlying films with different thicknesses and the wavelengths of the interference light. The detective waveform pattern data being preliminarily prepared prior to processing of the wafer. Determination is made as to whether or not an object of the processing has been reached by using the residual film thickness.
    Type: Application
    Filed: August 30, 2013
    Publication date: October 2, 2014
    Applicant: HITACHI HIGH-TECHNOLOGIES CORPORATION
    Inventors: Shigeru NAKAMOTO, Tatehito USUI, Satomi INOUE, Kousa HIROTA, Kousuke FUKUCHI
  • Patent number: 8821682
    Abstract: Systems and methods of imaging and repairing defects on and below the surface of an integrated circuit (IC) are described. The method may be used in areas as small as one micron in diameter, and may remove the topmost material in the small spot, repeating with various layers, until a desired depth is obtained. An energetic beam, such as an electron beam, is directed at a selected surface location. The surface has an added layer of a solid, fluid or gaseous reactive material, such as a directed stream of a fluorocarbon, and the energetic beam disassociates the reactive material in the region of the beam into radicals that chemically attack the surface. After the defect location is exposed, the method uses the energetic beam to etch undesired materials, and deposit various appropriate materials to fill gaps, and restore the IC to an operational condition.
    Type: Grant
    Filed: October 1, 2010
    Date of Patent: September 2, 2014
    Assignee: Micron Technology, Inc.
    Inventors: Mark J. Williamson, Gurtej S. Sandhu, Justin R. Arrington
  • Publication number: 20140238605
    Abstract: In accordance with an embodiment, a film thickness monitoring method includes applying light to a laminated body, detecting reflected light from the laminated body and outputting signals corresponding to the detected light, and judging whether a film thickness of an opaque film which is a polishing target has reached a desired film thickness. The laminated body includes a transparent film and the opaque film on the transparent film. A comparison value between the signal before polishing the opaque film and the signal after starting the polishing is obtained at predetermined time intervals, and whether the film thickness of the opaque film has reached the desired film thickness is judged based on a relationship between the comparison value of the signals and a predetermined threshold value.
    Type: Application
    Filed: September 9, 2013
    Publication date: August 28, 2014
    Applicant: Kabushiki Kaisha Toshiba
    Inventor: Toru MIKAMI
  • Publication number: 20140174658
    Abstract: A method is for etching the whole width of a substrate to expose buried features. The method includes etching a face of a substrate across its width to achieve substantially uniform removal of material; illuminating the etched face during the etch process; applying edge detection techniques to light reflected or scattered from the face to detect the appearances of buried features; and modifying the etch in response to the detection of the buried feature. An etching apparatus for etching substrate across its width to expose buried is also disclosed.
    Type: Application
    Filed: March 4, 2014
    Publication date: June 26, 2014
    Applicant: SPTS TECHNOLOGIES LIMITED
    Inventor: Oliver James Ansell
  • Patent number: 8747686
    Abstract: Methods and substrate processing systems for analyzing an end point of a process are provided. By-products of the process are detected and monitored to determine the completion of various types of reaction processes within a substrate processing chamber. The methods provide real time process monitoring, thereby reducing the need to rigidly constrain other substrate processing parameters, increasing chamber cleaning efficiency, and/or increasing substrate processing throughput.
    Type: Grant
    Filed: January 27, 2012
    Date of Patent: June 10, 2014
    Assignee: Applied Materials, Inc.
    Inventors: Bo Zheng, Mei Chang, Arvind Sundarrajan
  • Patent number: 8747608
    Abstract: A plasma processing apparatus includes a detector for detecting interference light of multiple wavelengths from a surface of a sample during processing, a pattern comparator for comparing actual deviation pattern data on the interference light obtained at a given time during processing and a plurality of standard deviation patterns corresponding to two or more thicknesses of the film, and calculating a deviation, the standard deviation patterns corresponding to interference light data of multiple wavelengths obtained, before the processing of the sample, for processing of another sample, a deviation comparator for comparing the deviation between the data and a predetermined deviation and outputting data on a thickness of the film of the sample at that time, a recorder for recording, as time series data, the data on the thickness of the film, and an endpoint decision unit.
    Type: Grant
    Filed: December 28, 2011
    Date of Patent: June 10, 2014
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Tatehito Usui, Kazuhiro Joo, Takashi Fujii
  • Patent number: 8685265
    Abstract: An etching apparatus includes a process unit and a control unit. Emission intensity of plasma inside the process unit is obtained by an OES detector, a nonlinear regression analysis is performed by an etching control device to determine a regression formula. The nonlinear regression analysis is performed by using the emission intensity of the plasma obtained until a first time when the emission intensity of the plasma passes a peak, and a second time to be an etching end point is calculated by using the regression formula. The etching end point is calculated as a time when the emission intensity decreases for a predetermined value from the first time. The etching apparatus finishes an etching when the process reaches the etching end point. It is thereby possible to control the etching end point with high-accuracy.
    Type: Grant
    Filed: May 11, 2012
    Date of Patent: April 1, 2014
    Assignee: Fujitsu Semiconductor Limited
    Inventors: Yoshiyuki Nakao, Kazuo Hashimi
  • Patent number: 8591698
    Abstract: A polishing system receives one or more target parameters for a selected peak in a spectrum of light, polishes a substrate, measures a current spectrum of light reflected from the substrate while the substrate is being polished, identifies the selected peak in the current spectrum, measures one or more current parameters of the selected peak in the current spectrum, compares the current parameters of the selected peak to the target parameters, and ceases to polish the substrate when the current parameters and the target parameters have a pre defined relationship.
    Type: Grant
    Filed: August 4, 2011
    Date of Patent: November 26, 2013
    Assignee: Applied Materials, Inc.
    Inventors: Dominic J. Benvegnu, Boguslaw A. Swedek, David J. Lischka
  • Patent number: 8580077
    Abstract: A plasma processing apparatus for generating a plasma of a processing gas by applying a high frequency power to an electrode provided in a processing chamber and processing a substrate using the plasma is provided. The plasma processing apparatus includes an optical data detection unit, a data storage unit and a control unit. The optical data detection unit detects optical data when plasma processing the substrate. The data storage unit stores correlation data representing a correlation between type data corresponding to a plurality of types classified based on a type of a mask or a film to be processed disposed on the substrate and optical data to be detected by the optical data detection unit, and end point detection setting data sets, each of the setting data sets serving to detect a plasma processing end point and corresponding to one of the types.
    Type: Grant
    Filed: December 15, 2009
    Date of Patent: November 12, 2013
    Assignee: Tokyo Electron Limited
    Inventors: Kosuke Ogasawara, Susumu Saito, Syuji Nozawa
  • Patent number: 8580076
    Abstract: A plasma apparatus, various components of the plasma apparatus, and an oxygen free and nitrogen free processes for effectively removing photoresist material and post etch residues from a substrate with a carbon and/or hydrogen containing low k dielectric layer(s).
    Type: Grant
    Filed: May 22, 2003
    Date of Patent: November 12, 2013
    Assignee: LAM Research Corporation
    Inventors: Alan Frederick Becknell, Thomas James Buckley, David Ferris, Richard E. Pingree, Jr., Palanikumaran Sakthivel, Aseem Kumar Srivastava, Carlo Waldfried
  • Patent number: 8545669
    Abstract: A plasma processing system is provided with diagnostic apparatus for making in-situ measurements of plasma properties. The diagnostic apparatus generally comprises a non-invasive sensor array disposed within a plasma processing chamber, an electrical circuit for stimulating the sensors, and means for recording and communicating sensor measurements for monitoring or control of the plasma process. In one form, the sensors are dynamically pulsed dual floating Langmuir probes that measure incident charged particle currents and electron temperatures in proximity to the plasma boundary or boundaries within the processing system. The plasma measurements may be used to monitor the condition of the processing plasma or furnished to a process system controller for use in controlling the plasma process.
    Type: Grant
    Filed: February 25, 2005
    Date of Patent: October 1, 2013
    Assignee: KLA-Tencor Corporation
    Inventors: Leonard J. Mahoney, Carl W. Almgren, Gregory A. Roche, William W. Saylor, William D. Sproul, Hendrik V. Walde
  • Patent number: 8547085
    Abstract: An arrangement for measuring process parameters within a processing chamber is provided. The arrangement includes a probe arrangement disposed in an opening of an upper electrode. Probe arrangement includes a probe head, which includes a head portion and a flange portion. The arrangement also includes an o-ring disposed between the upper electrode and the flange portion. The arrangement further includes a spacer made of an electrically insulative material positioned between the head portion and the opening of the upper electrode to prevent the probe arrangement from touching the upper electrode. The spacer includes a disk portion configured for supporting an underside of the flange portion. The spacer also includes a hollow cylindrical portion configured to encircle the head portion. The spacer forms a right-angled path between the o-ring and an opening to the processing chamber to prevent direct line-of-sight path between the o-ring and the opening to the processing chamber.
    Type: Grant
    Filed: July 7, 2009
    Date of Patent: October 1, 2013
    Assignee: Lam Research Corporation
    Inventors: Jean-Paul Booth, Douglas Keil
  • Patent number: 8518209
    Abstract: An apparatus for determining an endpoint of a process by measuring a thickness of a layer is provided. The layer is disposed on the surface by a prior process. The apparatus includes means for providing a sensor that is coplanar with the surface, wherein the sensor is configured to measure the thickness. The apparatus also includes means for exposing the plasma chamber to a plasma, wherein the thickness is changed by the exposing, and means for determining the thickness as a function of time. The apparatus further includes means for ascertaining a steady state condition in the thickness, the steady state condition being characterized by a substantially stable measurement of the thickness, a start of the steady state condition representing the endpoint.
    Type: Grant
    Filed: July 17, 2009
    Date of Patent: August 27, 2013
    Assignee: Lam Research Corporation
    Inventors: Eric Hudson, Douglas Keil, Alexei Marakhtanov
  • Publication number: 20130218316
    Abstract: A semiconductor processing apparatus includes a semiconductor processing station for a semiconductor wafer, and an endpoint detector associated with the semiconductor processing station. The endpoint detector includes a non-contact probe configured to probe the semiconductor wafer, an optical transmitter configured to transmit an optical signal to the non-contact probe, and an optical receiver configured to receive a reflected optical signal from the non-contact probe. The controller controls the semiconductor processing station based on the reflected optical signal.
    Type: Application
    Filed: February 21, 2012
    Publication date: August 22, 2013
    Applicant: STMicroelectronics, Inc.
    Inventors: John H. ZHANG, Cindy GOLDBERG
  • Publication number: 20130193108
    Abstract: Methods and substrate processing systems for analyzing an end point of a process are provided. By-products of the process are detected and monitored to determine the completion of various types of reaction processes within a substrate processing chamber. The methods provide real time process monitoring, thereby reducing the need to rigidly constrain other substrate processing parameters, increasing chamber cleaning efficiency, and/or increasing substrate processing throughput.
    Type: Application
    Filed: January 27, 2012
    Publication date: August 1, 2013
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Bo Zheng, Mei Chang, Arvind Sundarrajan
  • Publication number: 20130157387
    Abstract: The present disclosure relates to a semiconductor body etching apparatus having a multi-zone end point detection system. In some embodiments, the multi-zone end point detection system has a processing chamber that houses a workpiece that is etched according to an etching process. A plurality of end point detector (EPD) probes are located within the processing chamber. Respective EPD probes are located within different zones in the processing chamber, thereby enabling the detection of end point signals from multiple zones within the processing chamber. The detected end point signals are provided from the plurality of EPD probes to an advanced process control (APC) unit. The APC unit is configured to make a tuning knob adjustment to etching process parameters based upon the detected end point signals and to thereby account for etching non-uniformities.
    Type: Application
    Filed: December 16, 2011
    Publication date: June 20, 2013
    Applicant: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Chien-An Chen, Yen-Shuo Su, Ying Xiao, Chin-Hsiang Lin
  • Patent number: 8467895
    Abstract: An operating method is disclosed for a processing system that comprises multiple process modules each adapted to perform substantially the same process upon a substrate. During process module conditioning as a preparatory step for executing a required process recipe, each time one process module completes conditioning, successive transfer of unprocessed substrates from a cassette to the process module is started on an associated substrate transfer route, and successive processes that use the process module are started for the unprocessed substrates. The processing system can be operated efficiently, even if the nonuniformity of the conditioning time required exists between process modules of the same specifications.
    Type: Grant
    Filed: October 6, 2006
    Date of Patent: June 18, 2013
    Assignee: Tokyo Electron Limited
    Inventors: Koichi Sekido, Hirofumi Yamaguchi, Bae Junghwan