For Detection Or Control Of Electrical Parameter (e.g., Current, Voltage, Resistance, Power, Etc.) Patents (Class 156/345.28)
  • Patent number: 11972925
    Abstract: A plasma processing apparatus includes: a plasma processing chamber; a substrate support disposed in the plasma processing chamber and including a lower electrode; a source RF generator coupled to the plasma processing chamber and configured to generate a source RF signal including high states and low states in alternate manner; and a bias DC generator coupled to the lower electrode and configured to generate a bias DC signal including ON states and OFF states in alternate manner. Each ON state includes a plurality of cycles, each cycle including a first sequence of first pulses and a second sequence of second pulses, each first pulse having a first voltage level, and each second pulse having a second voltage level different from the first voltage level.
    Type: Grant
    Filed: May 7, 2021
    Date of Patent: April 30, 2024
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Bong seong Kim, Ken Kobayashi, Mitsunori Ohata, Yoon Ho Bae
  • Patent number: 11798788
    Abstract: A hollow cathode includes an insulation plate having cathode holes. Bottom electrodes are below the insulation plate. The bottom electrodes define first holes having a width greater than a width of the cathode holes. Top electrodes are at an opposite side of the insulation plate from the bottom electrodes. The top electrodes define second holes aligned with the first holes along a direction orthogonal to the upper surface of the insulation plate.
    Type: Grant
    Filed: November 6, 2020
    Date of Patent: October 24, 2023
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Sang Ki Nam, Sunggil Kang, Sungyong Lim, Beomjin Yoo, Akira Koshiishi, Vasily Pashkovskiy, Kwangyoub Heo
  • Patent number: 11735447
    Abstract: Embodiments disclosed herein include a processing tool for semiconductor processing. In an embodiment, the processing tool comprises a chamber, and a plurality of witness sensors integrated with the chamber. In an embodiment, the processing tool further comprises a drift detection module. In an embodiment, data from the plurality of witness sensors is provided to the drift detection module as input data. In an embodiment, the processing tool further comprises a dashboard for displaying output data from the drift detection module.
    Type: Grant
    Filed: October 20, 2020
    Date of Patent: August 22, 2023
    Assignee: Applied Materials, Inc.
    Inventors: Kartik Santhanam, Kartik Shah, Wolfgang Aderhold, Martin Hilkene, Stephen Moffatt
  • Patent number: 11725271
    Abstract: A sputtering apparatus including a chamber, a stage inside the chamber and configured to receive a substrate thereon, a first sputter gun configured to provide a sputtering source to an inside of the chamber, a first RF source configured to provide a first power having a first frequency to the first sputter gun, and a second RF source configured to provide a second power having a second frequency to the first sputter gun, the second frequency being lower than the first frequency may be provided.
    Type: Grant
    Filed: April 15, 2022
    Date of Patent: August 15, 2023
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Ki Woong Kim, Hyeon Woo Seo, Hee Ju Shin, Se Chung Oh, Hyun Cho
  • Patent number: 11721525
    Abstract: Methods and apparatus for plasma processing substrate are provided herein. The method comprises supplying from an RF power source RF power, measuring at the RF power source a reflected power at the first power level, comparing the measured reflected power to a first threshold, transmitting a result of the comparison to a controller, setting at least one variable capacitor to a first position based on the comparison of the measured reflected power at the first power level to the first threshold, supplying from the RF power source the RF power at a second power level for plasma processing the substrate, measuring at the RF power source the reflected power at the second power level, comparing the measured reflected power at the second power level to a second threshold different from the first threshold, transmitting a result of the comparison, setting at the matching network the at least one variable capacitor to a second position.
    Type: Grant
    Filed: November 8, 2021
    Date of Patent: August 8, 2023
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Yue Guo, Kartik Ramaswamy, Yang Yang
  • Patent number: 11705339
    Abstract: A disclosed etching method includes (a) generating plasma of a processing gas in a chamber of a plasma processing apparatus. The plasma is generated in a state where a substrate is placed on a substrate support having a lower electrode in the chamber. The substrate has a film and a mask. The mask is provided on the film. The etching method further includes (b) etching the film by supplying ions from the plasma to the substrate by periodically applying a pulse of a voltage to a lower electrode. In the operation (b), a level of a voltage of the pulse is changed at least once such that an absolute value of a negative potential of the substrate has a tendency to increase according to progress of etching of the film.
    Type: Grant
    Filed: September 14, 2021
    Date of Patent: July 18, 2023
    Assignee: Tokyo Electron Limited
    Inventors: Shingo Takahashi, Shogo Yamaya
  • Patent number: 11664196
    Abstract: A detecting method includes: supplying a bias power to a lower electrode, and supplying a source power to an upper electrode or the lower electrode; and detecting an output value of a sensor attached to a chamber. The detecting the output value of the sensor includes (a) specifying a first phase of a bias waveform for each cycle of the bias waveform, (b) specifying a second phase of a source waveform after a predetermined first time elapses from a timing when the first phase is specified, and (c) sampling the output value of the sensor after a predetermined second time elapses from a timing when the second phase is specified. The steps (a) to (c) are repeated for each cycle of the bias waveform.
    Type: Grant
    Filed: March 8, 2021
    Date of Patent: May 30, 2023
    Assignee: TOKYO ELECTRON LIMITED
    Inventor: Chishio Koshimizu
  • Patent number: 11664193
    Abstract: A system and method for etching workpieces in a uniform manner are disclosed. The system includes a semiconductor processing system that generates a ribbon ion beam, and a workpiece holder that scans the workpiece through the ribbon ion beam. The workpiece holder includes a portion that extends beyond the workpiece, referred to as a halo. The halo may be independently heated to compensate for etch rate non-uniformities. In some embodiments, the halo may be independently biased such that its potential is different from the potential applied to the workpiece. In certain embodiments, the halo may be divided into a plurality of thermal zones that can be separately controlled. In this way, various etch rate non-uniformities may be addressed by controlling the potential and/or temperature of the various thermal zones of the halo.
    Type: Grant
    Filed: February 4, 2021
    Date of Patent: May 30, 2023
    Assignee: Applied Materials, Inc.
    Inventors: Kevin R. Anglin, Simon Ruffell, Kevin Verrier
  • Patent number: 11640918
    Abstract: A stage device includes a stage having a copper main body and an electrostatic chuck, a cooling unit disposed below the stage, and a power supply mechanism for supplying power to an attraction electrode of the electrostatic chuck from a DC power supply disposed below the stage. The power supply mechanism includes a pair of terminals disposed at an outer peripheral portion of the stage while being spaced apart from each other, a first power supply line having a pair of metal rods spaced apart from each other while extending toward the stage and being connected to the DC power supply, a second power supply line having a pair of metal rods spaced apart from each other and connected to the terminals, and a connecting unit where the metal rods of the first power supply line and the metal rods of the second power supply line are connected.
    Type: Grant
    Filed: February 10, 2021
    Date of Patent: May 2, 2023
    Assignee: TOKYO ELECTRON LIMITED
    Inventor: Satoshi Takeda
  • Patent number: 11621146
    Abstract: A method for non-invasively imaging plasma parameters has been invented. Crossed dipole pairs are used to differentiate changes in the measured complex self- and mutual impedances due to plasma density and magnetic field. Measurements of the complex self-impedance and mutual impedance between pairs of antennas over a wide range of frequencies provide spatial information to create an image of the plasma density and magnetic field. The spectral information is acquired simultaneously using a Gaussian monopulse as the driver signal.
    Type: Grant
    Filed: October 14, 2020
    Date of Patent: April 4, 2023
    Assignee: The Government of the United States of America, as represented by the Secretary of the Navy
    Inventors: Erik Tejero, George Gatling, William Amatucci
  • Patent number: 11600467
    Abstract: Power supply devices for generating at least one electric high-frequency power signal for a plasma having at least a first plasma state and a second plasma state are provided. The power supply devices are configured to determine a first variable that characterizes a power reflected by the plasma in the first plasma state, determine a second variable that characterizes a power reflected by the plasma in the second plasma state, generate a third variable based on the first variable and the second variable, and control at least one of a frequency or a power of the high-frequency power signal based on the third variable.
    Type: Grant
    Filed: January 8, 2021
    Date of Patent: March 7, 2023
    Assignee: TRUMPF Huettinger GmbH + Co. KG
    Inventors: Christian Bock, Christian Thome
  • Patent number: 11570857
    Abstract: A defrosting system includes an RF signal source, two electrodes proximate to a cavity within which a load to be defrosted is positioned, a transmission path between the RF signal source and the electrodes, and an impedance matching network electrically coupled along the transmission path between the output of the RF signal source and the electrodes. The system also includes power detection circuitry coupled to the transmission path and configured to detect reflected signal power along the transmission path. A system controller is configured to modify, based on the reflected signal power, values of variable capacitors of the impedance matching network to reduce the reflected signal power. The impedance matching network may be a single-ended network or a double-ended network.
    Type: Grant
    Filed: March 21, 2019
    Date of Patent: January 31, 2023
    Assignee: NXP USA, Inc.
    Inventors: Jamison Michael McCarville, Pierre Marie Jean Piel, James Eric Scott, Lionel Mongin, Jeremie Simon
  • Patent number: 11517943
    Abstract: A cleaning method that removes contaminants adhering to a stage in a chamber, includes: setting a pressure in a chamber to a predetermined vacuum pressure; supplying a first gas that forms a shock wave toward the stage; and supplying a second gas that does not form the shock wave toward the stage.
    Type: Grant
    Filed: July 1, 2019
    Date of Patent: December 6, 2022
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Kyoko Ikeda, Kazuya Dobashi
  • Patent number: 11469130
    Abstract: A substrate processing apparatus includes: a disk including a plurality of electrostatic chucks periodically disposed at a constant radius from a central axis; a disk support supporting the disk; a DC line electrically connected to the plurality of electrostatic chucks through the disk support; and a power supply configured to supply power to the DC line. The DC line includes: a first DC line penetrating through the disk support from the power supply; a power distribution unit configured to distribute the first DC line to connect the first DC line to each of the plurality of electrostatic chucks; and a plurality of second DC lines respectively connected to the plurality of electrostatic chucks in the power distribution unit.
    Type: Grant
    Filed: June 8, 2018
    Date of Patent: October 11, 2022
    Assignee: Jusung Engineering Co., Ltd.
    Inventors: Ho Bin Yoon, Seung Chul Shin, Jin Hyuk Yoo
  • Patent number: 11424106
    Abstract: Provided is a technique capable of reducing a variation in processing in an in-plane direction of a sample and improving a yield of processing. A plasma processing apparatus 1 includes a first electrode (a base material 110B) disposed in a sample stage 110, a ring-shaped second electrode (a conductive ring 114) disposed surrounding an outer peripheral side of an upper surface portion 310 (a dielectric film portion 110A) of the sample stage 110, a dielectric ring-shaped member (a susceptor ring 113) that covers the second electrode and is disposed surrounding an outer periphery of the upper surface portion 310, a plurality of power supply paths that supply high frequency power from a high frequency power supply to the first electrode and the second electrode respectively, and a matching device 117 disposed on a power supply path to the second electrode.
    Type: Grant
    Filed: May 28, 2018
    Date of Patent: August 23, 2022
    Assignee: HITACHI HIGH-TECH CORPORATION
    Inventors: Yuki Kondo, Kenetsu Yokogawa, Masahito Mori, Satoshi Une, Kazunori Nakamoto
  • Patent number: 11410834
    Abstract: A substrate processing apparatus of the present disclosure includes a processing container capable of being vacuum-exhausted, a lower electrode, and an upper electrode. A target substrate can be placed on the lower electrode. The upper electrode is disposed in the processing container so as to face the lower electrode. A substrate processing method of the present disclosure includes performing a first process on the target substrate using an AC voltage without using a DC pulse voltage, and performing a second process on the target substrate using the DC pulse voltage.
    Type: Grant
    Filed: June 13, 2019
    Date of Patent: August 9, 2022
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Keiichi Tanaka, Tatsuo Matsudo
  • Patent number: 11361941
    Abstract: Methods and apparatus for processing a substrate are herein described. For example, a processing chamber for processing a substrate includes a chamber body defining a processing volume; a radio frequency (RF) power source configured to deliver RF energy to the processing volume for processing a substrate; a substrate support comprising an electrode; an AC power supply configured to supply power to the processing chamber; an RF filter circuit connected between the electrode and the AC power supply; and a controller configured to monitor an RF voltage at the RF filter circuit that is indirectly induced into the electrode by the RF power source during operation, and to determine a processing state in the processing volume based on the monitored RF voltage.
    Type: Grant
    Filed: June 19, 2020
    Date of Patent: June 14, 2022
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Junghoon Kim, Tae Seung Cho, Dmitry Lubomirsky, Toan Tran
  • Patent number: 11290080
    Abstract: A physical vapor deposition system may include an RF generator configured to transmit an AC process signal to a physical vapor deposition chamber via an RF matching network. A controller of the RF matching network is configured to receive the DC magnitude and phase error signals and to vary an impedance of the RF matching network in response to the DC magnitude and phase error signals. The matching network operates in a first mode until a tuning dead-zone is determined. Once a tuning dead-zone is determined, the matching network operates in additional modes until the network is tuned. The controller uses a composite value of magnitude and phase error to drive of the variable tuning and load capacitors.
    Type: Grant
    Filed: November 29, 2018
    Date of Patent: March 29, 2022
    Assignee: COMET TECHNOLOGIES USA, INC.
    Inventors: Liang Ouyang, Daniel Catalan, Anthony Oliveti
  • Patent number: 11282677
    Abstract: Systems and methods for plasma processing are disclosed. An exemplary system may include a plasma processing chamber including a source to produce a plasma in the processing chamber and at least two bias electrodes arranged within the plasma processing chamber to control plasma sheaths proximate to the bias electrodes. A chuck is disposed to support a substrate, and a source generator is coupled to the plasma electrode. At least one bias supply is coupled to the at least two bias electrodes, and a controller is included to control the at least one bias supply to control the plasma sheaths proximate to the bias electrodes.
    Type: Grant
    Filed: June 9, 2020
    Date of Patent: March 22, 2022
    Assignee: Advanced Energy Industries, Inc.
    Inventors: Denis Shaw, Kevin Fairbairn, Daniel Carter
  • Patent number: 11251778
    Abstract: An impedance matching device includes: a variable capacitor in which a plurality of series circuits of capacitors and semiconductor switches are connected in parallel; a calculation unit that calculates an impedance or a reflection coefficient on the load side using information regarding impedance acquired from the outside; and a control unit that determines ON/OFF states to be taken by the semiconductor switches included in the variable capacitor using the impedance or the reflection coefficient calculated by the calculation unit and turns on or off the semiconductor switches based on the determined states. The control unit changes an ON/OFF control timing between one and another of the semiconductor switches.
    Type: Grant
    Filed: March 10, 2021
    Date of Patent: February 15, 2022
    Assignee: DAIHEN Corporation
    Inventor: Tatsuya Morii
  • Patent number: 11233665
    Abstract: Lighting fixture data hubs and systems and methods for use. An example of a data hub may include an annunciator configured to generate first and second indications; a sensor configured to detect a zone including one or more parking spaces, pedestrians, or other activity areas in a vicinity of the data hub and to determine whether or not one or more vehicles, pedestrians, and/or activities occurring in the activity areas are present within the zone, the sensor further configured to emit signals corresponding to said detection; and a gateway in communication with an on-board processor and the annunciator, the on-board processor configured for EDGE computing and processing to receive and analyze the signals from the sensor, communicate said signals to the gateway, and operable to allow the gateway to direct the annunciator to generate the first indication or the second indication in response to the signals.
    Type: Grant
    Filed: August 25, 2020
    Date of Patent: January 25, 2022
    Assignee: ECO Parking Technologies, LLC
    Inventors: William Longardner, Jeffrey Pinyot, Andrew Teed
  • Patent number: 11201034
    Abstract: A plasma processing apparatus includes: a processing container; an electrode that places a substrate thereon within the processing container; a plasma generation source that supplies plasma into the processing container; a bias power supply that supplies bias power to the electrode; a part exposed to the plasma in the processing container; a DC power supply that supplies a DC voltage to the part; a controller that executes a process including a first control procedure in which a first state in which the DC voltage has a first voltage value and a second state in which the DC voltage has a second voltage value higher than the first voltage value are periodically repeated, and the first voltage value is applied in a partial period in each cycle of a potential of the electrode, and the second voltage value is applied such that the first state and the second state are continuous.
    Type: Grant
    Filed: December 27, 2019
    Date of Patent: December 14, 2021
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Ryuji Hisatomi, Chishio Koshimizu, Michishige Saito
  • Patent number: 11097426
    Abstract: A carrier system and method carries a plate-like object to an object mounting section. The system imparts vibration to the object that has been moved such that the object is vibrating when the object is placed onto the mounting section.
    Type: Grant
    Filed: July 10, 2020
    Date of Patent: August 24, 2021
    Assignee: NIKON CORPORATION
    Inventor: Hideaki Hara
  • Patent number: 11094500
    Abstract: A discharge control apparatus for controlling a flyback power supply circuit which includes a transformer having a primary coil and a secondary coil and performing voltage conversion, and a driver for controlling energization of the primary coil. The power supply circuit supplies electric energy to a plasma reactor. The discharge control apparatus calculates, based on primary current flowing through the primary coil and primary voltage generated in the primary coil, supply energy supplied to the primary coil and regeneration energy which is a portion of the supply energy not used for the discharge in the plasma reactor. The discharge control apparatus controls the power supply circuit based on the calculated supply energy and the calculated regeneration energy. Also disclosed is a method for controlling the flyback power supply circuit.
    Type: Grant
    Filed: March 24, 2020
    Date of Patent: August 17, 2021
    Assignee: NGK SPARK PLUG CO., LTD.
    Inventors: Yuji Matsumoto, Kazunari Kokubo
  • Patent number: 11049693
    Abstract: Systems and methods for increasing peak ion energy with a low angular spread of ions are described. In one of the systems, multiple radio frequency (RF) generators that are coupled to an upper electrode associated with a plasma chamber are operated in two different states, such as two different frequency levels, for pulsing of the RF generators. The pulsing of the RF generators facilitates a transfer of ion energy during one of the states to another one of the states for increasing ion energy during the other state to further increase a rate of processing a substrate.
    Type: Grant
    Filed: August 6, 2019
    Date of Patent: June 29, 2021
    Assignee: Lam Research Corporation
    Inventors: Juline Shoeb, Ying Wu, Alex Paterson
  • Patent number: 10840086
    Abstract: Embodiments include a method of processing a substrate. In an embodiment, the method comprises flowing one or more source gasses into a processing chamber, and inducing a plasma from the source gases with a plasma source that is operated in a first mode. In an embodiment, the method may further comprise biasing the substrate with a DC power source that is operated in a second mode. In an embodiment, the method may further comprise depositing a film on the substrate.
    Type: Grant
    Filed: April 27, 2018
    Date of Patent: November 17, 2020
    Assignee: Applied Materials, Inc.
    Inventors: Kelvin Chan, Travis Koh, Simon Huang, Philip Allan Kraus
  • Patent number: 10825645
    Abstract: A system and method for reduced workpiece adhesion during removal from a semiconductor processing station. The system provides an electrostatic charge detector that measures the residual charge on an electrostatically clamped workpiece prior to removal from a processing station inside the semiconductor processing tool. One embodiment uses an algorithm that to predict when to remove the workpiece without electrostatic adhesion based upon the decay rate of the residual electrostatic charge (Q) on the workpiece. Other embodiments also provide for a processing station static charge buildup health check and an excessive static charge check on incoming workpieces.
    Type: Grant
    Filed: August 7, 2018
    Date of Patent: November 3, 2020
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventors: Eric D. Wilson, David E. Suuronen, Michael W. Osborne, Julian G. Blake
  • Patent number: 10823766
    Abstract: A detector for detecting an occurrence of a current strength of interest (e.g. zero current) of a current of a signal to be sensed includes a magnetoresistive structure and a detection unit. The magnetoresistive structure varies a resistance depending on a magnetic field caused by the current of the signal to be sensed. Further, the detection unit generates and provides a current detection signal indicating an occurrence of the current strength of interest based on a detected magnitude of the varying resistance of the magnetoresistive structure.
    Type: Grant
    Filed: October 22, 2018
    Date of Patent: November 3, 2020
    Assignee: Infineon Technologies Austria AG
    Inventors: Siegfried Krainer, Wolfgang Marbler, Wolfgang Granig
  • Patent number: 10811144
    Abstract: Examples of a system for generating and compressing magnetized plasma are disclosed. The system comprises a plasma generator with a first closed end and an outlet, and a flux conserving chamber that is in tight fluid communication with the outlet of the plasma generator such that the generated plasma is injected into an inner cavity of the flux conserving chamber. An elongated central axial shaft is also provided such that the central shaft extends through the outlet of the plasma generator into the flux conserver. The end of the central shaft in connected to the flux conserver. A power source that comprises a formation power circuit and a shaft power circuit is provided to provide a formation power pulse to the plasma generator to generate magnetized plasma, and a shaft power pulse to the central axial shaft to generate a toroidal magnetic field into the plasma generator and the flux conserving chamber.
    Type: Grant
    Filed: November 6, 2017
    Date of Patent: October 20, 2020
    Assignee: General Fusion Inc.
    Inventors: Michel Georges Laberge, Meritt Wayne Reynolds, Alexander Douglas Mossman, Stephen James Howard, Blake Kenton Rablah, Peter Joseph Larkin O'Shea, Donald James Froese, Charles Beaufort Eyrich
  • Patent number: 10755569
    Abstract: Lighting fixture data hubs and systems and methods for use. An example of a data hub may include an annunciator configured to generate first and second indications; a sensor configured to detect a zone including one or more parking spaces, pedestrians, or other activity areas in a vicinity of the data hub and to determine whether or not one or more vehicles, pedestrians, and/or activities occurring in the activity areas are present within the zone, the sensor further configured to emit signals corresponding to said detection; and a gateway in communication with an on-board processor and the annunciator, the on-board processor configured for EDGE computing and processing to receive and analyze the signals from the sensor, communicate said signals to the gateway, and operable to allow the gateway to direct the annunciator to generate the first indication or the second indication in response to the signals.
    Type: Grant
    Filed: January 4, 2019
    Date of Patent: August 25, 2020
    Assignee: ECO Parking Technologies, LLC
    Inventors: William Longardner, Jeffrey Pinyot, Jason Toschlog, Gage Toschlog, Evan Foote, Scott Whitlock, Andrew Huffman, Daniel Hughes
  • Patent number: 10685813
    Abstract: The invention relates to a plasma treatment device with a treatment chamber, at least one pair of microwave plasma sources and at least one voltage source. Each pair of microwave plasma sources consists of a first microwave plasma source and a second microwave plasma source, wherein the first and the second microwave plasma source each have a plasma source wall and, within this, a microwave coupling-in device and a plasma electrode. The first and the second microwave plasma source are arranged within the treatment chamber on the same side of one or more substrates to be processed and adjacently to one another. The plasma electrodes of the first microwave plasma source and the second microwave plasma source are electrically insulated from one another and electrically conductively connected to the at least one voltage source. Here, the at least one voltage source is suitable for supplying the plasma electrodes of the first and the second microwave plasma source with different potentials.
    Type: Grant
    Filed: October 10, 2017
    Date of Patent: June 16, 2020
    Assignee: Meyer Burger (Germany) GmbH
    Inventor: Joachim Mai
  • Patent number: 10674595
    Abstract: A plasma processing apparatus according to one embodiment includes a grounded processing container, a mounting table configured to support a workpiece inside the processing container, a plurality of electrodes arranged to face the mounting table and insulated from one another, a high frequency power supply configured to supply a high frequency power for generating plasma and electrically connected between two different electrodes out of the plurality of electrodes or between one of the plurality of electrodes and the processing container, and an impedance variable circuit configured to control an impedance and electrically connected between two different electrodes out of the plurality of electrodes or between one of the plurality of electrodes and the processing container.
    Type: Grant
    Filed: October 12, 2017
    Date of Patent: June 2, 2020
    Assignees: TOKYO ELECTRON LIMITED, TOHOKU UNIVERSITY
    Inventor: Masaki Hirayama
  • Patent number: 10622191
    Abstract: A substrate processing method includes supplying RF power from an RF power supply provided with a linear amplifier to a plasma generation apparatus via an electronic matcher, thereby generating plasma and starting a process on a substrate, and stopping the supply of the RF power from the RF power supply when a prescribed time elapses after the generation of plasma starts.
    Type: Grant
    Filed: February 9, 2018
    Date of Patent: April 14, 2020
    Assignee: ASM IP Holding B.V.
    Inventors: Koji Tanaka, Tomohiro Arakawa
  • Patent number: 10491202
    Abstract: An RF generator includes: a modulation circuit outputting a pulsed RF signal; a variable attenuation circuit adjusting the level of the pulsed RF signal; an output power detecting unit detecting an output power value of the power output from the device; a first comparative arithmetic circuit outputting a first level control signal for controlling the level of the adjusted pulsed RF signal on the basis of a first detected voltage value and a set voltage value set in advance; a second comparative arithmetic circuit outputting a second level control signal for controlling the level of the adjusted pulsed RF signal on the basis of a second detected voltage value and the set voltage value; and a switching circuit switching between the value of the first level control signal and the value of the second level control signal depending on a switching timing setting value.
    Type: Grant
    Filed: September 1, 2017
    Date of Patent: November 26, 2019
    Assignee: HITACHI KOKUSAI ELECTRIC INC.
    Inventors: Yoshiyuki Oshida, Kenji Nasu, Naoya Fujimoto
  • Patent number: 10432248
    Abstract: A RF control circuit is provided and includes a controller, a divider, and a RF sensor. The controller selects a RF, which is a frequency of a reference LO signal. The divider receives a first RF signal detected in a substrate processing chamber and outputs a second RF signal. The first RF signal is generated by a RF generator and supplied to the substrate processing chamber. The RF sensor includes a lock-in amplifier, which includes: a RF path that receives the second RF signal; a LO path that receives the reference LO signal; a first mixer that generates an IF signal based on the second RF signal and the reference LO signal; and a filter that filters the IF signal. The controller generates a control signal based on the filtered IF signal and transmits the control signal to the RF generator to adjust the first RF signal.
    Type: Grant
    Filed: March 15, 2018
    Date of Patent: October 1, 2019
    Assignee: LAM RESEARCH CORPORATION
    Inventors: Ernest Beauel Hanks, John Valcore, Jr.
  • Patent number: 10424489
    Abstract: A plasma etching method uses, as a processing gas, a mixed gas of at least one fluorocarbon gas and at least one hydrofluoroether gas represented by chemical formula (I).
    Type: Grant
    Filed: March 8, 2017
    Date of Patent: September 24, 2019
    Assignee: ZEON CORPORATION
    Inventor: Go Matsuura
  • Patent number: 10319566
    Abstract: Disclosed inventions are apparatus for supplying power and an apparatus for treating a substrate including the same. The apparatus for supplying power includes a high-frequency power source that provides a high-frequency power; a plasma source including first and second antennas that generates plasma by using the high-frequency power; and a power divider connected between the high-frequency power source and the plasma source to divide the high-frequency power supplied to the first and second antennas. The power divider includes a first variable device that controls the high-frequency power supplied to the first and second antennas; and a second variable device that compensates for non-linearity of the high-frequency power supplied to the first and second antennas.
    Type: Grant
    Filed: April 25, 2018
    Date of Patent: June 11, 2019
    Assignee: Semes Co., Ltd.
    Inventors: Harutyun Melikyan, Ogsen Galstyan, Junghwan Lee, Jong Hwan An, Shin-Woo Nam
  • Patent number: 10312048
    Abstract: Systems and methods for creating arbitrarily-shaped ion energy distribution functions using shaped-pulse-bias. In an embodiment, a method includes applying a positive jump voltage to an electrode of a process chamber to neutralize a wafer surface, applying a negative jump voltage to the electrode to set a wafer voltage, and modulating the amplitude of the wafer voltage to produce a predetermined number of pulses to determine an ion energy distribution function. In another embodiment a method includes applying a positive jump voltage to an electrode of a process chamber to neutralize a wafer surface, applying a negative jump voltage to the electrode to set a wafer voltage, and applying a ramp voltage to the electrode that overcompensates for ion current on the wafer or applying a ramp voltage to the electrode that undercompensates for ion current on the wafer.
    Type: Grant
    Filed: December 7, 2017
    Date of Patent: June 4, 2019
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Leonid Dorf, Travis Koh, Olivier Luere, Olivier Joubert, Philip A. Kraus, Rajinder Dhindsa, James Hugh Rogers
  • Patent number: 10211031
    Abstract: Disclosed is a plasma processing method for generating plasma between an upper electrode connected with a VF power supply and a susceptor disposed to face the upper electrode to perform a plasma processing on a wafer by the plasma. The plasma processing method includes: providing an auxiliary circuit configured to reduce a difference between a reflection minimum frequency of a first route where a high frequency current generated from the VF power supply flows before ignition of the plasma and a reflection minimum frequency of a second route where the high frequency current generated from the VF power supply flows after the ignition of the plasma; igniting the plasma; and maintaining the plasma.
    Type: Grant
    Filed: December 19, 2014
    Date of Patent: February 19, 2019
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Chishio Koshimizu, Jun Yamawaku
  • Patent number: 10209294
    Abstract: A method for producing an arc detection signal on the basis of a plurality of observation signals comprises producing an arc detection part-signal for each of at least two observation signals. Producing each of the part-signals includes correlating the respective observation signal with a correlation signal by influencing the correlation signal with the respective observation, thereby producing a correlation result; producing or modifying a coefficient on the basis of the correlation result; and weighting the respective observation signal with the coefficient. The arc detection part-signals are added to form the arc detection signal.
    Type: Grant
    Filed: December 5, 2013
    Date of Patent: February 19, 2019
    Assignee: TRUMPF Huettinger GmbH + Co. KG
    Inventors: Markus Bannwarth, Christian Fritsch, Ulrich Heller, Daniel Krausse, Rolf Merte, Moritz Nitschke, Peter Wiedemuth, Christian Bock, Michael Glueck, Thomas Kirchmeier, Ekkehard Mann, Krishna Kishore Nedunuri, Martin Steuber, Markus Winterhalter
  • Patent number: 10161034
    Abstract: A method for cleaning a processing chamber of a substrate processing system includes supplying nitrogen trifluoride (NF3) gas to a remote plasma source (RPS); generating RPS plasma using the RPS; supplying the RPS plasma to the processing chamber; supplying NF3 gas as bypass gas to the processing chamber; striking in-situ plasma in the processing chamber while the RPS plasma is supplied; and cleaning the processing chamber during a cleaning period using both the RPS plasma and the in-situ plasma.
    Type: Grant
    Filed: April 21, 2017
    Date of Patent: December 25, 2018
    Assignee: Lam Research Corporation
    Inventors: Keith Fox, Jonathan Church, James Lee, Matthew Mudrow, Kevin Gerber
  • Patent number: 10115567
    Abstract: A plasma processing apparatus can efficiently perform a pulse modulation method of switching a high frequency power to be used in a plasma process between a high level and a low level alternately according to a duty ratio of a modulation pulse. In this plasma processing apparatus, when performing a high/low pulse modulation on the high frequency power for plasma generation, if a weighted variable K is set to be 0.5<K<1, a constant reflection wave power PRH is generated on a high frequency transmission line of a plasma generation system even during a pulse-on period Ton. Meanwhile, during a pulse-off period Toff, a reflection wave power PRL decreases. By adjusting the value of K, a balance between the reflection wave power PRH during the pulse-on period Ton and the reflection wave power PRL during the pulse-off period Toff can be controlled.
    Type: Grant
    Filed: September 14, 2015
    Date of Patent: October 30, 2018
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Taichi Hirano, Ken Yoshida, Hikoichiro Sasaki, Satoshi Yamada, Yoshinobu Hayakawa, Junji Ishibashi, Fumitoshi Kumagai
  • Patent number: 10056230
    Abstract: A power supply system includes a high frequency power supply which supplies a high frequency power; a DC power supply which supplies a first negative DC voltage or a second negative DC voltage having an absolute value larger than that of the first DC voltage; and a control unit which performs a power supply control process of repeating a supply and a stop of the supply of the high frequency power alternately; stopping supplies of the first and second DC voltages for a first period, which is a time period from a beginning of the supply of the high frequency power within a period during which the high frequency power is being supplied; supplying the first DC voltage for a second period except the first period within the period; and supplying the second DC voltage for a period during which the supply of the high frequency power is stopped.
    Type: Grant
    Filed: March 21, 2016
    Date of Patent: August 21, 2018
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Taichi Hirano, Junji Ishibashi, Keiki Ito, Kunihiro Sato
  • Patent number: 10049857
    Abstract: A repeating setpoint generator module selectively varies a setpoint for an output parameter according to a predetermined pattern that repeats during successive time intervals. A closed-loop module, during a first one of the time intervals, generates N closed-loop values based on N differences between (i) N values of the setpoint at N times during the first one of the time intervals and (ii) N measurements of the output parameter at the N times during the first one of the time intervals, respectively. An adjusting module, during the first one of the time intervals, generates N adjustment values based on N differences between (i) N values of the setpoint at the N times during a second one of the time intervals and (ii) N measurements of the output parameter at the N times during the second one of the time intervals, respectively.
    Type: Grant
    Filed: November 30, 2015
    Date of Patent: August 14, 2018
    Assignee: MKS Instruments, Inc.
    Inventors: Larry J. Fisk, II, Aaron T. Radomski, Jonathan Smyka
  • Patent number: 10018484
    Abstract: Electrostatic capacitance can be measured with high directivity in a specific direction. A sensor chip that measures the electrostatic capacitance includes a first electrode, a second electrode and a third electrode. The first electrode has a first portion. The second electrode has a second portion extended on the first portion of the first electrode, and is insulated from the first electrode within the sensor chip. The third electrode has a front face extended in a direction which intersects with the first portion of the first electrode and the second portion of the second electrode, and is provided on the first portion and the second portion. The third electrode is insulated from the first electrode and the second electrode within the sensor chip. No portion is extended from the first electrode to be positioned above the first portion.
    Type: Grant
    Filed: January 12, 2018
    Date of Patent: July 10, 2018
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Kippei Sugita, Tomohide Minami
  • Patent number: 10014162
    Abstract: An inductive-coupling plasma generation apparatus in which coupling can be made stronger and power can be used more effectively than in a conventional technique. The inductive-coupling plasma generation apparatus includes an electroconductive chamber with a toroidal-shaped electrical discharge space formed inside. The plasma generation apparatus also includes a high-frequency power source connected to the chamber. The power source is configured to cause a high-frequency current to flow through electroconductive material forming the chamber along a toroidal direction.
    Type: Grant
    Filed: August 25, 2016
    Date of Patent: July 3, 2018
    Assignee: DAIHEN Corporation
    Inventors: Michio Taniguchi, Shigeki Amadatsu
  • Patent number: 9841395
    Abstract: A system of inspecting a focus ring is provided. The system includes a measuring device, a transfer device and an operation unit. The measuring device includes a base substrate, a sensor chip and a circuit board. The sensor chip has a sensor electrode and is provided along an edge of the base substrate. The circuit board is configured to output a high frequency signal to the sensor electrode and acquire a digital value indicating electrostatic capacitance based on a voltage amplitude in the sensor electrode. The transfer device is configured to scan the measuring device. The operation unit is configured to obtain difference values by performing a difference operation with respect to the digital values acquired by the measuring device at multiple positions along a direction which intersects with an inner periphery of the focus ring.
    Type: Grant
    Filed: June 10, 2016
    Date of Patent: December 12, 2017
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Kippei Sugita, Tomohide Minami
  • Patent number: 9824862
    Abstract: The disclosure pertains to a capacitively coupled plasma source in which VHF power is applied through an impedance-matching coaxial resonator having a symmetrical power distribution.
    Type: Grant
    Filed: November 20, 2014
    Date of Patent: November 21, 2017
    Assignee: Applied Materials, Inc.
    Inventors: Kartik Ramaswamy, Igor Markovsky, Zhigang Chen, James D. Carducci, Kenneth S. Collins, Shahid Rauf, Nipun Misra, Leonid Dorf, Zheng John Ye
  • Patent number: 9741542
    Abstract: A plasma generating device that improves plasma generating efficiency can further accommodate changes in plasma generating state because of changes in conditions of surroundings and the like. The plasma generating device is provided with an electromagnetic wave radiating device, which has an electromagnetic wave generating device that oscillates electromagnetic waves and a radiating antenna that radiates electromagnetic waves oscillated by the electromagnetic wave generating device, and a control device that controls the electromagnetic wave radiating device.
    Type: Grant
    Filed: May 29, 2015
    Date of Patent: August 22, 2017
    Assignee: IMAGINEERING, INC.
    Inventor: Yuji Ikeda
  • Patent number: 9691618
    Abstract: Provided are a semiconductor device fabricating apparatus configured to perform an atomic layer etching process and a method of fabricating a semiconductor device including performing the atomic layer etching process. The method includes loading a wafer onto an electrostatic chuck in a chamber, performing a first periodical process in which a first gas is supplied to an inside of the chamber and the first gas is adsorbed onto the wafer, performing a second periodical process in which a second gas is supplied to the inside of the chamber and the first gas remaining in the chamber is exhausted to an outside of the chamber, performing a third periodical process in which a third gas is supplied to the inside of the chamber, plasma including the third gas is generated, the plasma collides with the wafer, and the first gas adsorbed onto the wafer is removed, and unloading the wafer to the outside of the chamber.
    Type: Grant
    Filed: November 13, 2015
    Date of Patent: June 27, 2017
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Dougyong Sung, Sejin Oh, Je-Hun Woo, Hyunju Lee, Seungkyu Lim, Kiho Hwang