With Means For Passing Discrete Workpiece Through Plural Chambers (e.g., Loadlock) Patents (Class 156/345.31)
  • Patent number: 11961758
    Abstract: An apparatus for transferring a substrate to a substrate processing chamber includes: a substrate transfer chamber including a floor surface portion having a traveling surface-side magnet provided therein and a sidewall portion having an opening for transferring the substrate therethrough; a substrate transfer module including a substrate holder and a floating body-side magnet acting a repulsive force with the traveling surface-side magnet, and configured to be movable on a traveling surface formed in a region provided with the traveling surface-side magnet by magnetic floating using the repulsive force; the substrate processing chamber connected to the substrate transfer chamber via a gate valve constituting a non-traveling region in which the substrate transfer module is not movable by the magnetic floating; and a transfer assist mechanism for assisting the transfer of the substrate by the substrate transfer module between the substrate transfer chamber and the substrate processing chamber via the non-trave
    Type: Grant
    Filed: March 8, 2022
    Date of Patent: April 16, 2024
    Assignee: Tokyo Electron Limited
    Inventor: Takehiro Shindo
  • Patent number: 11935772
    Abstract: An apparatus for processing a substrate may include a wet chamber, a dry chamber, a first transfer robot and a shared shutter. The wet chamber may be configured to process the substrate using a chemical. The dry chamber may be adjacent the wet chamber and configured to dry the substrate processed by the wet chamber. The first transfer robot may be configured to transfer the substrate between the wet chamber and the dry chamber. The shared shutter may be between the wet chamber and the dry chamber. A connection opening through which the substrate may be transferred may be formed between the wet chamber and the dry chamber. The shared shutter may be configured to open and close the connection opening.
    Type: Grant
    Filed: July 21, 2021
    Date of Patent: March 19, 2024
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Seohyun Kim, Younghoo Kim, Sangjine Park, Kuntack Lee, Jihoon Jeong
  • Patent number: 11923209
    Abstract: A substrate processing apparatus includes a substrate support configured to support and rotate a substrate, at least one first lower cleaning nozzle configured to spray a first cleaning liquid on a lower surface of the substrate, at least one second lower cleaning nozzle configured to spray a second cleaning liquid on the lower surface of the substrate, a bowl assembly disposed around the substrate support, the bowl assembly including a cup body providing an annular shaped accommodating space and inner and outer collection portions sequentially arranged in a radial direction in a lower portion of the cup body, an annular shaped discharge guide plate disposed in the receiving space of the bowl assembly under the substrate and extending outwardly from a circumference of the substrate, and a discharge separation plate provided within the receiving space of the bowl assembly to be movable upward and downward.
    Type: Grant
    Filed: February 23, 2022
    Date of Patent: March 5, 2024
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Hyunwoong Hwang, Chawon Koh, Seok Heo, Hyunwoo Kim
  • Patent number: 11887867
    Abstract: According to various embodiments, a vacuum arrangement may comprise the following: a first dehydration chamber and a second dehydration chamber, which are gas-separated from one another; a substrate transfer chamber for changing clocked substrate transport into continuous substrate transport towards the second dehydration chamber; a first high-vacuum pump of gas-transfer type for evacuating the first dehydration chamber; and a second high-vacuum pump of gas-binding type for evacuating the second dehydration chamber; wherein the first dehydration chamber is, with respect to the substrate transport, arranged between the second dehydration chamber and the substrate transfer chamber.
    Type: Grant
    Filed: June 26, 2019
    Date of Patent: January 30, 2024
    Assignee: VON ARDENNE ASSET GMBH & CO. KG
    Inventors: Torsten Dsaak, Thomas Meyer, Bernd Teichert, Ralf Biedermann
  • Patent number: 11830710
    Abstract: Apparatus and methods for forming and using internally divisible physical vapor deposition (PVD) process chambers using shutter disks are provided herein. In some embodiments, an internally divisible process chamber may include an upper chamber portion having a conical shield, a conical adaptor, a cover ring, and a target, a lower chamber portion having a substrate support having inner and outer deposition rings, and wherein the substrate support is vertically movable, and a shutter disk assembly configured to internally divide the process chamber and create a separate sealed deposition cavity and a separate sealed oxidation cavity, wherein the shutter disk assembly includes one or more seals disposed along its outer edges and configured to contact at least one of the conical shield, the conical adaptor, or the deposition rings to form the separate sealed deposition and oxidation cavities.
    Type: Grant
    Filed: June 24, 2022
    Date of Patent: November 28, 2023
    Assignee: APPLIED MATERIALS, INC.
    Inventors: John Joseph Mazzocco, Anantha K. Subramani, Yang Guo
  • Patent number: 11827974
    Abstract: A sputtering system is suitable for sputtering a surface to be sputtered having sections. Each section has a projection height. The sputtering system includes a supporting plate, a sputtering array, and a controller. The sputtering array is arranged on the supporting plate. The sputtering array includes sputtering units. Each section corresponds to at least one of the sputtering units. Each sputtering unit has a driving shaft and a target. The target faces the surface to be sputtered. The controller is electrically connected the driving shaft. The driving shaft drives the target to move relative to the surface to be sputtered. The controller controls a distance between each sputtering unit and the corresponding section of the sections in the direction of the projection height to satisfy a given condition.
    Type: Grant
    Filed: December 10, 2020
    Date of Patent: November 28, 2023
    Assignees: Interface Technology (Chengdu) Co., Ltd., Interface Optoelectronics (Shenzhen) Co., Ltd., General Interface Solution Limited
    Inventors: Po-Lun Chen, Chun-Ta Chen, Tsung-Chen Chou, Chin-Yang Wu, Nai-Hau Shiue
  • Patent number: 11776792
    Abstract: A plasma processing apparatus or a plasma processing method having an improved yield, the plasma processing apparatus includes: a processing chamber arranged inside a vacuum container; a processing gas supply line connecting to the vacuum container, communicating with the processing chamber, and configured to supply processing gas having adhesiveness to the processing chamber; and a gas exhaust line for the processing gas connecting and communicating the processing gas supply line with a processing chamber exhaust line that is connected to an exhaust pump and communicates with the processing chamber, in which the plasma processing apparatus exhausts the processing gas in the processing gas supply line through the gas exhaust line and the processing chamber exhaust line in a state where supplying of the processing gas to the processing chamber is stopped between one processing step of etching the wafer and a subsequent processing step.
    Type: Grant
    Filed: April 3, 2020
    Date of Patent: October 3, 2023
    Assignee: HITACHI HIGH-TECH CORPORATION
    Inventors: Shunsuke Tashiro, Takashi Uemura, Shengnan Yu, Yasushi Sonoda, Kiyohiko Sato, Masahiro Nagatani
  • Patent number: 11761075
    Abstract: A substrate cleaning apparatus that cleans a processing target substrate by blasting the gas clusters to the processing target substrate. The apparatus includes: a chamber configured to accommodate the processing target substrate; a rotary stage configured to rotatably support the processing target substrate in the chamber; an blasting unit configured to blast the gas clusters to the processing target substrate supported by the rotary stage; a driving unit configured to scan a gas cluster-blasted position on the processing target substrate; an exhaust port configured to evacuate the chamber; and a control mechanism configured to control a scattering direction of particles by controlling a rotation direction of the processing target substrate by the rotary stage and a scanning direction of the gas cluster-blasted position, thereby suppressing re-adhesion of the particles to the processing target substrate.
    Type: Grant
    Filed: October 25, 2016
    Date of Patent: September 19, 2023
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Yukimasa Saito, Toshiki Hinata, Kazuya Dobashi, Kyoko Ikeda, Shuji Moriya
  • Patent number: 11664050
    Abstract: A disk for a magnetic recording apparatus is described. The disk includes a first surface extending along a first plane, a second surface extending along a second plane parallel to the first plane, and a disk thickness between the first surface and the second surface, measured along a first direction substantially normal to the first surface. The disk further includes an edge surface disposed along a perimeter of the disk and between the first surface and the second surface, where the edge surface extends along a third plane substantially perpendicular to the first surface, a first chamfer disposed between the first surface and the edge surface, and a second chamfer disposed between the second surface and the edge surface. In an aspect, a length of the edge surface measured along the first direction may be between 40% and 80% of the disk thickness.
    Type: Grant
    Filed: December 6, 2021
    Date of Patent: May 30, 2023
    Assignee: Western Digital Technologies, Inc.
    Inventors: Shoji Suzuki, Kirk B. Cheng
  • Patent number: 11658054
    Abstract: A substrate processing system includes a processing container body having an opening, a lid which closes an opening, a mover for relatively moving the lid with respect to the opening to open and close the opening, and a lock mechanism which locks the lid to the processing container body. The lock mechanism includes an arm member and a locking member. The arm member is provided on one of the processing container body and the lid and extends toward the other when the processing container body is located at a position where the lid is separated from the processing container body. The locking member restricts a displacement of the arm member by being engaged with a part of the arm member. This part is located beyond the gap space when the lid is at the separated position.
    Type: Grant
    Filed: January 20, 2021
    Date of Patent: May 23, 2023
    Inventor: Noritake Sumi
  • Patent number: 11621185
    Abstract: A semiconductor manufacturing apparatus includes one or more process modules, a scheduler, and a transfer controller. A product wafer of a lot that is transferred from a load port to one of the one or more process modules is replenished such that a total number of wafers that are simultaneously processed in the one or more process modules becomes N. When an advance lot being processed and a post lot to be processed subsequent to the advance lot have a same processing condition, the scheduler creates the transfer plan to replenish with the product wafer of the post lot instead of a dummy wafer such that the transfer controller transfers the product wafer and the dummy wafer to the one or more process modules according to the created transfer plan.
    Type: Grant
    Filed: February 17, 2021
    Date of Patent: April 4, 2023
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Rintaro Takao, Naohide Ito, Hiroaki Dewa, Masayuki Kozawa
  • Patent number: 11621194
    Abstract: Methods of dicing semiconductor wafers, each wafer having a plurality of integrated circuits, are described. A method includes forming a mask above the semiconductor wafer, the mask including a layer covering and protecting the integrated circuits. The mask and a portion of the semiconductor wafer are patterned with a laser scribing process to provide a patterned mask and to form trenches partially into but not through the semiconductor wafer between the integrated circuits. Each of the trenches has a width. The semiconductor wafer is plasma etched through the trenches to form corresponding trench extensions and to singulate the integrated circuits. Each of the corresponding trench extensions has the width.
    Type: Grant
    Filed: December 29, 2020
    Date of Patent: April 4, 2023
    Assignee: Applied Materials, Inc.
    Inventors: Wei-Sheng Lei, Brad Eaton, Madhava Rao Yalamanchili, Saravjeet Singh, Ajay Kumar, James M. Holden
  • Patent number: 11607768
    Abstract: A chemical mechanical polishing apparatus includes a cleaning unit that cleans a substrate, a brushing unit that includes a plurality of roll brushes brushing the substrate and a driver driving the roll brushes, and a controlling unit that controls the driver. The controlling unit includes a time calculator that counts a usage time of the roll brushes, and a drive controller that reduces a distance between the roll brushes, based on the usage time of the roll brushes.
    Type: Grant
    Filed: July 18, 2019
    Date of Patent: March 21, 2023
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Ilcheol Shin, Sung-Chang Park, Kyoungwoo Kim, Young-Kyun Woo, Hak-Jae Im
  • Patent number: 11574846
    Abstract: A method of controlling gate formation of a semiconductor device includes acquiring a correlation between gate critical dimensions (CDs) and etching recipes for forming gate trenches; measuring a gate CD on a target wafer; determining an etching recipe based on the correction and the measured gate CD; and performing an etching process on the target wafer to form a gate trench with the determined etching recipe.
    Type: Grant
    Filed: October 20, 2020
    Date of Patent: February 7, 2023
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Chang-Jhih Syu, Chih-Hao Yu, Chang-Yun Chang, Hsiu-Hao Tsao, Yu-Jiun Peng
  • Patent number: 11569110
    Abstract: A buffer unit for storing a substrate includes a housing having a buffer space inside, a substrate support unit that supports one or more substrates in the buffer space, a pressure adjustment unit that adjusts pressure in the buffer space, and a controller that controls the pressure adjustment unit. The pressure adjustment unit includes a gas supply line that supplies a gas for pressurizing the buffer space and a gas exhaust line that reduces the pressure in the buffer space. The controller controls the pressure adjustment unit to maintain the buffer space in a selected one of a filling mode in which the buffer space is filled with the gas and an exhaust mode in which the buffer space is evacuated.
    Type: Grant
    Filed: August 14, 2019
    Date of Patent: January 31, 2023
    Assignee: SEMES CO., LTD.
    Inventor: Dukhyun Son
  • Patent number: 11543450
    Abstract: A system for testing a semiconductor may include a transfer chamber, at least one loadlock chamber and at least one test chamber. The transfer chamber may include a plurality of sidewalls. The loadlock chamber may be arranged on a first sidewall of the sidewalls of the transfer chamber. The loadlock chamber may include a carrier configured to receive a plurality of wafers. The test chamber may be arranged on a second sidewall of the sidewalls of the transfer chamber. When the transfer chamber is connected to the loadlock chamber, a pressure of the transfer chamber may be changed into a pressure of the loadlock chamber. When the transfer chamber is connected to the test chamber, the pressure of the transfer chamber may be changed into a pressure of the test chamber.
    Type: Grant
    Filed: June 25, 2020
    Date of Patent: January 3, 2023
    Assignee: SK hynix Inc.
    Inventor: Gyung Jin Kim
  • Patent number: 11515175
    Abstract: A wafer inspection apparatus according to one embodiment is a wafer inspection apparatus including a plurality of inspection parts arranged in a height direction and a lateral direction, and includes a pair of air circulating means disposed at both ends in a longitudinal direction of an air circulating region including the plurality of inspection parts arranged in the lateral direction and configured to circulate air in the circulating region.
    Type: Grant
    Filed: April 13, 2018
    Date of Patent: November 29, 2022
    Assignee: Tokyo Electron Limited
    Inventors: Shuji Akiyama, Hiroki Hosaka
  • Patent number: 11508560
    Abstract: A focus ring adjustment assembly of a system for processing workpieces under vacuum, where the focus ring may include a lower side having a first surface portion and a second surface portion, the first surface portion being vertically above the second surface portion. The adjustment assembly may include a pin configured to selectively contact the first surface portion of the focus ring, and an actuator operable to move the pin along the vertical direction between an extended position and a retracted position. The extended position of the pin may be associated with the distal end of the pin contacting the first surface of the focus ring and the focus ring being accessible for removal by a workpiece handling robot from the vacuum process chamber.
    Type: Grant
    Filed: May 13, 2020
    Date of Patent: November 22, 2022
    Assignees: BEIJING E-TOWN SEMICONDUCTOR TECHNOLOGY CO., LTD, MATTSON TECHNOLOGY, INC.
    Inventors: Martin L. Zucker, Peter J. Lembesis, Ryan M. Pakulski, Shawming Ma
  • Patent number: 11508589
    Abstract: When performing a liquid processing on a substrate W being rotated and removing a processing liquid by a cleaning liquid, a cleaning liquid nozzle 421 configured to discharge a cleaning liquid slantly with respect to a surface of the substrate W toward a downstream side of a rotational direction of the substrate W and a gas nozzle 411 configured to discharge a gas toward a position adjacent to a central portion side of the substrate W when viewed from a liquid arrival position R of the cleaning liquid are moved from the central portion side toward a peripheral portion side. A rotation number of the substrate is varied such that rotation number in a period during which the liquid arrival position R moves in the second region becomes smaller than a maximum rotation number in a period during which the liquid arrival position moves in the first region.
    Type: Grant
    Filed: September 24, 2018
    Date of Patent: November 22, 2022
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Akiko Kai, Kouichirou Tanaka, Hiroshi Ichinomiya, Masahiro Fukuda
  • Patent number: 11483933
    Abstract: Description of a method and equipment for panel (900) treatment in the manufacture of printed circuit boards that includes the following phases: setting up a panel (900) with a first side (905), a second side (910) opposite the first side, and at least one through hole (915) in the thickness of the panel; positioning the opening (205) for an intake system (200) in contact with the first side (905) of the panel (900) so this opening (205) delimits a portion on the first side (905) containing the through hole (915); creating negative pressure within the intake system (200) and simultaneously exposing at least one portion on the second side (910) of this panel (900) to a flow of plasma, whereby this portion on the second side (910) contains the through hole (915).
    Type: Grant
    Filed: June 29, 2018
    Date of Patent: October 25, 2022
    Assignee: WISE S.R.L.
    Inventor: Massimo Fiorani
  • Patent number: 11473196
    Abstract: Described herein is a technique capable of suppressing generation of particles by removing by-products in a groove of a high aspect ratio. According to one aspect of the technique, there is provided a substrate processing apparatus including: a process chamber in which a substrate is processed; and a substrate support provided in the process chamber and including a plurality of supports where the substrate is placed, wherein the process chamber includes a process region where a process gas is supplied to the substrate and a purge region where the process gas above the substrate is purged, and the purge region includes a first pressure purge region to be purged at a first pressure and a second pressure purge region to be purged at a second pressure higher than the first pressure.
    Type: Grant
    Filed: September 4, 2020
    Date of Patent: October 18, 2022
    Assignee: Kokusai Electric Corporation
    Inventors: Naofumi Ohashi, Tetsuaki Inada
  • Patent number: 11465183
    Abstract: Provided is a cleaning apparatus for cleaning a portion where a seating pad contacts or a hole into which a pin is inserted when a workpiece is placed. The cleaning apparatus for cleaning a workpiece having a placing portion and a reference hole, including: a table plate, a seating pad on which the workpiece is placed at the placing portion, a first nozzle that ejects a cleaning fluid, a pin inserted into the reference hole, and a second nozzle that ejects the cleaning fluid toward inside of the reference hole.
    Type: Grant
    Filed: January 20, 2021
    Date of Patent: October 11, 2022
    Assignee: SUGINO MACHINE LIMITED
    Inventors: Yoshiteru Kawamori, Toyoaki Mitsue, Takuya Kanemoto
  • Patent number: 11460787
    Abstract: An apparatus for generating a laminar flow includes an injection nozzle and a suction nozzle. The injection nozzle and the suction nozzle are operable to form the laminar flow for blocking particles from contacting a proximate surface of an object. The injection nozzle includes a main outlet to blow out the laminar flow and is configured to generate a Coanda flow along an external surface of the injection nozzle. The suction nozzle is configured to provide a gas pressure gradient for the laminar flow.
    Type: Grant
    Filed: October 20, 2021
    Date of Patent: October 4, 2022
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventor: Wen-Hao Cheng
  • Patent number: 11430635
    Abstract: Some embodiments include a plasma system comprising: a plasma chamber, an RF plasma generator, a bias generator, and a controller. The RF plasma generator may be electrically coupled with the plasma chamber and may produce a plurality of RF bursts, each of the plurality of RF bursts including RF waveforms, each of the plurality of RF bursts having an RF burst turn on time and an RF burst turn off time. The bias generator may be electrically coupled with the plasma chamber and may produce a plurality of bias bursts, each of the plurality of bias bursts including bias pulses, each of the plurality of bias bursts having an bias burst turn on time and an bias burst turn off time. In some embodiments the controller is in communication with the RF plasma generator and the bias generator that controls the timing of various bursts or waveforms.
    Type: Grant
    Filed: July 24, 2020
    Date of Patent: August 30, 2022
    Assignee: Eagle Harbor Technologies, Inc.
    Inventors: Timothy Ziemba, Kenneth Miller, James Prager, Kevin Muggli, Eric Hanson
  • Patent number: 11430681
    Abstract: There is provided a device and method for controlling a tightness of at least one transport enclosure for conveyance and atmospheric storage of semiconductor substrates, the transport enclosure including at least two ventilation ports, the device including at least one interface configured to be coupled to the transport enclosure, the interface including at least two connecting heads, at least one connecting head of the heads being formed by a measurement head configured to engage in a ventilation port of the ventilation ports of the transport enclosure, the measurement head including a projecting end piece, opening through at least one aperture, and a peripheral sealing element surrounding the end piece, all of the ventilation ports of the transport enclosure being coupled to the connecting head of the interface. There is also provided a method for controlling the tightness of the transport enclosure.
    Type: Grant
    Filed: November 6, 2017
    Date of Patent: August 30, 2022
    Assignee: PFEIFFER VACUUM
    Inventors: Bertrand Bellet, Julien Bounouar, Nicolas Chapel
  • Patent number: 11367610
    Abstract: A film forming method for forming a film on a pattern and cleaning a space of a processing container configured to perform therein a plasma processing under a reduced pressure environment. The space is provided with a pedestal and an upper electrode configured to supply radio-frequency power. The upper electrode is disposed in the space to face the pedestal.
    Type: Grant
    Filed: January 9, 2019
    Date of Patent: June 21, 2022
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Yoshihide Kihara, Takahiro Yokoyama
  • Patent number: 11171025
    Abstract: Provided is an exhaust device with improved exhaust efficiency. The exhaust device includes: a plurality of exhaust ports in communication with an exhaust space and configured to exhaust gas in a first direction; a plurality of exhaust paths respectively connected to the plurality of exhaust ports; and a transfer port in communication with the plurality of exhaust paths and configured to exhaust gas in a second direction. More uniform processing of a substrate may be achieved through a substrate processing device using such an exhaust device.
    Type: Grant
    Filed: December 5, 2019
    Date of Patent: November 9, 2021
    Assignee: ASM IP Holding B.V.
    Inventor: WonKi Jeong
  • Patent number: 10964574
    Abstract: A system for sending a cassette pod is provided. The system includes a processing machine having a load port for receiving the cassette pod. The system further includes a manipulating apparatus positioned above the processing machine. The manipulating apparatus includes an intermediate module having a stage and a driving mechanism connected to the stage to change the position of the stage. The manipulating apparatus further includes a conveyor module having a gripper assembly for grasping the cassette pod.
    Type: Grant
    Filed: June 1, 2020
    Date of Patent: March 30, 2021
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD
    Inventor: Tsung-Sheng Kuo
  • Patent number: 10923405
    Abstract: Embodiments include devices and methods for detecting particles, monitoring etch or deposition rates, or controlling an operation of a wafer fabrication process. In an embodiment, a particle monitoring device for particle detection includes several capacitive micro sensors mounted on a wafer substrate to detect particles under all pressure regimes, e.g., under vacuum conditions. In an embodiment, one or more capacitive micro sensors is mounted on a wafer processing tool to measure material deposition and removal rates in real-time during the wafer fabrication process. Other embodiments are also described and claimed.
    Type: Grant
    Filed: August 29, 2018
    Date of Patent: February 16, 2021
    Assignee: Applied Materials, Inc.
    Inventors: Leonard Tedeschi, Kartik Ramaswamy, Daniel Thomas McCormick, Robert Paul Meagley
  • Patent number: 10923564
    Abstract: A super-junction structure is formed by alternately arrayed pluralities of N-pillars and of P-pillars. The P-pillars are formed by P-type materials filled in super-junction trenches. The super-junction trenches are formed in an N-type epitaxial layer, each formed by a bottom trench and a top trench stacked together. A side angle of the bottom trenches is greater than 90°, and the width of the bottom surface of each bottom trench is greater than that of the top surface of the trench. The side angle of the top trenches is smaller than 90°, and the width of the bottom surface of each top trench is smaller than the top surface of the trench. The super-junction trenches are of a waisted structure. The bottom trenches increase the bottom width of the super-junction trenches and improve the depletion of the bottoms of the N-pillars, increasing the breakdown voltage of the super-junction structure.
    Type: Grant
    Filed: June 18, 2019
    Date of Patent: February 16, 2021
    Assignee: Shanghai Huahong Grace Semiconductor Manufacturing Corporation
    Inventor: Longjie Zhao
  • Patent number: 10672639
    Abstract: A system for sending a cassette pod is provided. The system includes a processing machine having a load port for receiving the cassette pod. The system further includes a manipulating apparatus positioned above the processing machine. The manipulating apparatus includes an intermediate module having a stage and a driving mechanism connected to the stage to change the position of the stage. The manipulating apparatus further includes a conveyor module having a gripper assembly for grasping the cassette pod.
    Type: Grant
    Filed: May 19, 2017
    Date of Patent: June 2, 2020
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventor: Tsung-Sheng Kuo
  • Patent number: 10590531
    Abstract: There is provided a technique that includes: a first processing module including a first processing chamber for processing vertically arranged substrates; a second processing module including a second processing chamber for processing the substrates, the second processing chamber disposed adjacent to the first processing chamber; a first exhaust box storing a first exhaust system exhausting the first processing chamber; a second exhaust box storing a second exhaust system exhausting the second processing chamber; a common supply box controlling at least one of a flow path and a flow rate of process gases supplied into the first and second processing chambers; a first valve group connecting gas pipes from the common supply box to the first processing chamber such that a communication state is controllable; and a second valve group connecting the gas pipes from the common supply box to the second processing chamber such that a communication state is controllable.
    Type: Grant
    Filed: August 29, 2019
    Date of Patent: March 17, 2020
    Assignee: Kokusai Electric Corporation
    Inventors: Kenji Shirako, Tomoshi Taniyama
  • Patent number: 10595415
    Abstract: An electronic device manufacturing system may include a mainframe to which one or more process chambers of different size may be coupled. A different number of process chambers may be coupled to each facet (i.e., side wall) of the mainframe. The process chambers coupled to one facet may be of a different size than process chambers coupled to other facets. For example, one process chamber of a first size may be coupled to a first facet, two process chambers each of a second size different than the first size may be coupled to a second facet, and three process chambers each of a third size different than the first and second sizes may be coupled to a third facet. Other configurations are possible. The mainframe may have a square or rectangular shape. Methods of assembling an electronic device manufacturing system are also provided, as are other aspects.
    Type: Grant
    Filed: June 20, 2017
    Date of Patent: March 17, 2020
    Assignee: Applied Materials, Inc.
    Inventors: Michael Robert Rice, Jeffrey C. Hudgens
  • Patent number: 10550474
    Abstract: A deposition system includes a system housing having a housing interior, a fixture transfer assembly having a generally sloped fixture transfer rail extending through the housing interior, a plurality of processing chambers connected by the fixture transfer rail, a controller interfacing with the processing chambers and at least one fixture carrier assembly carried by the fixture transfer rail and adapted to contain at least one substrate. The fixture carrier assembly travels along the fixture transfer rail under influence of gravity.
    Type: Grant
    Filed: February 17, 2011
    Date of Patent: February 4, 2020
    Assignee: QUANTUM INNOVATIONS, INC.
    Inventors: Nomran L. Kester, Cliff J. Leidecker, John B. Glarum
  • Patent number: 10550475
    Abstract: A deposition system includes a system housing having a housing interior, a fixture transfer assembly having a generally sloped fixture transfer rail extending through the housing interior, a plurality of processing chambers connected by the fixture transfer rail, a controller interfacing with the processing chambers and at least one fixture carrier assembly carried by the fixture transfer rail and adapted to contain one substrate. The fixture carrier assembly travels along the fixture transfer rail under influence of gravity.
    Type: Grant
    Filed: October 16, 2012
    Date of Patent: February 4, 2020
    Assignee: QUANTUM INNOVATIONS, INC.
    Inventors: Norman L. Kester, Cliff J. Leidecker
  • Patent number: 10428426
    Abstract: A method and apparatus for a deposition chamber is provided and includes a twin chamber that includes a first remote plasma system coupled and dedicated to a first processing region, a second remote plasma system coupled and dedicated to a second processing region, and a third remote plasma system shared by the first processing region and the second processing region.
    Type: Grant
    Filed: April 21, 2017
    Date of Patent: October 1, 2019
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Daemian Raj, Ying Ma, DongQing Li, Jay D. Pinson, II
  • Patent number: 10361064
    Abstract: An electrostatic particle beam combiner for creating a single source combining the properties of two particle beams which form a high brightness source of a selected mixture of ions of varying element types and energies. An electrostatic spherical lens is arranged to bend a low energy second particle beam along a circular path and thereafter to impinge on a surface of a sample, e.g., within a transmission electron microscope. A beam of high energy is injected into the electrostatic spherical lens through an aperture in the outer shell and steered by two spaced apart electrostatic deflectors so that the angle of entry and the point of entry can be independently adjusted so that the high energy beam leaves the spherical lens along a path which is coaxial and coincident with the second particle beam of low energy.
    Type: Grant
    Filed: March 28, 2018
    Date of Patent: July 23, 2019
    Assignee: National Electrostatics Corp.
    Inventor: Mark L. Sundquist
  • Patent number: 10283386
    Abstract: A processing room includes a processing chamber, a discharge chamber, a sealing member, a blocking member, and a reclaiming member. The discharge chamber includes a main body communicating with the processing chamber, and a receiving portion and a discharge portion communicating with the main body. The receiving portion is aligned to the discharge portion. The sealing member includes a sealing portion and a sealing valve mounted on the sealing portion. The sealing portion is partially and moveably received in the receiving portion and resists against a sidewall of the receiving portion. The blocking member is detachably mounted on an end of the discharge portion and seals the discharge portion. The reclaiming member is partially received in the main body.
    Type: Grant
    Filed: October 23, 2014
    Date of Patent: May 7, 2019
    Assignee: Shenzhen Jingjiang Yunchuang Technology, Co., Ltd.
    Inventors: Tang-Quan Chen, Kai Luo, Xiao-Bo Yuan, Yi-Min Jiang
  • Patent number: 10109513
    Abstract: A substrate treating apparatus includes a treating section for treating substrates, and an interface section disposed adjacent the treating section and adjacent an exposing machine provided separately from the apparatus. The interface section has a first treating-section-side transport mechanism, a second treating-section-side transport mechanism, and an exposing-machine-side transport mechanism. Each of the first and second treating-section-side transport mechanisms is arranged to receive the substrates from the treating section, pass the substrates to the exposing-machine-side transport mechanism, receive the substrates from the exposing-machine-side transport mechanism and pass the substrates to the treating section.
    Type: Grant
    Filed: December 13, 2013
    Date of Patent: October 23, 2018
    Assignee: SCREEN Semiconductor Solutions Co., Ltd.
    Inventor: Koji Nishiyama
  • Patent number: 10020169
    Abstract: An etching device and an etching method. The etching device includes an etching chamber and a chuck located therein for clamping a substrate to be etched, a plasma generating device surrounding the etching chamber in an area and a gas nozzle distribution device for introducing etching gas, which is situated above the chuck in such a way that an etching gas stream is directed essentially perpendicular to a surface of the substrate to be etched. A moving mechanism may be used to change the distance between the gas nozzle distribution device and the chuck as a function of the etching mode.
    Type: Grant
    Filed: January 10, 2017
    Date of Patent: July 10, 2018
    Assignee: ROBERT BOSCH GMBH
    Inventors: Arnd Kaelberer, Christian Zielke, Hans Artmann, Oliver Breitschaedel, Peter Borwin Staffeld
  • Patent number: 9884501
    Abstract: The present teachings disclose various embodiments of a printing system for printing a substrate, in which the printing system can be housed in a gas enclosure, where the environment within the enclosure can be maintained as a controlled printing environment. A controlled environment of the present teachings can include control of the type of gas environment within the gas enclosure, the size and level particulate matter within the enclosure, control of the temperature within the enclosure and control of lighting. Various embodiments of a printing system of the present teachings can include a Y-axis motion system and a Z-axis moving plate that are configured to substantially decrease excess thermal load within the enclosure by, for example, eliminating or substantially minimizing the use of conventional electric motors.
    Type: Grant
    Filed: November 17, 2016
    Date of Patent: February 6, 2018
    Assignee: Kateeva, Inc.
    Inventors: Robert B. Lowrance, Alexander Sou-Kang Ko, Justin Mauck, Eliyahu Vronsky, Aleksey Khrustalev, Karl Mathia, Shandon Alderson
  • Patent number: 9676009
    Abstract: A method and apparatus for cleaning a stack of secure instruments such as banknotes is disclosed. Each secure instrument or banknote includes a substrate, visual data and a security feature. The apparatus includes a chamber configured to contain a supercritical fluid at a temperature and a pressure and for a duration sufficient to disinfect or decontaminate the banknotes and not compromise the security feature and the visual data of the banknotes, a structure for holding the stack of banknotes in the chamber so that the supercritical fluid circulates through and around the banknotes to remove one or more substances into the supercritical fluid, and a strapping machine configured to secure a securing mechanism to the stack of banknotes.
    Type: Grant
    Filed: January 12, 2015
    Date of Patent: June 13, 2017
    Assignee: Specrra Systems Corporation
    Inventor: Nabil Lawandy
  • Patent number: 9337044
    Abstract: Oxide growth of a gate dielectric layer that occurs between processes used in the fabrication of a gate dielectric structure can be reduced. The reduction in oxide growth can be achieved by maintaining the gate dielectric layer in an ambient effective to mitigate oxide growth of the gate dielectric layer between at least two sequential process steps used in the fabrication the gate dielectric structure. Maintaining the gate dielectric layer in an ambient effective to mitigate oxide growth also improves the uniformity of nitrogen implanted in the gate dielectric.
    Type: Grant
    Filed: September 18, 2015
    Date of Patent: May 10, 2016
    Assignee: TEXAS INSTRUMENTS INCORPORATED
    Inventors: Malcolm J. Bevan, Haowen Bu, Hiroaki Niimi, Husam N. Alshareef
  • Patent number: 9196858
    Abstract: An object of the present invention to improve reliability of a light emitting device having a mixed layer including an organic compound and metal oxide without reducing productivity. The above object is solved in such a way that after forming the mixed layer including the organic compound and metal oxide, the mixed layer is exposed to a nitrogen gas atmosphere without being exposed to a gas atmosphere including oxygen, and then a stacked film is formed over the mixed layer without exposing the mixed layer to a gas atmosphere including oxygen.
    Type: Grant
    Filed: September 15, 2012
    Date of Patent: November 24, 2015
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Junichiro Sakata
  • Patent number: 9161427
    Abstract: Device for generating a plasma discharge near a substrate for patterning the surface of the substrate, comprising a first electrode having a first discharge portion and a second electrode having a second discharge portion, a high voltage source for generating a high voltage difference between the first and the second electrode, and positioning means for positioning the first electrode with respect to the substrate. The device is further provided with an intermediate structure that is, in use, arranged in between the first electrode and the substrate while allowing for positioning the first electrode with respect to the substrate.
    Type: Grant
    Filed: February 17, 2010
    Date of Patent: October 13, 2015
    Assignee: Vision Dynamics Holding B.V.
    Inventors: Paulus Petrus Maria Blom, Alquin Alphons Elisabeth Stevens, Laurentia Johanna Huijbregts, Hugo Anton Marie De Haan, Antonius Hubertus Van Schijndel, Edwin Te Sligte, Nicolaas Cornelis Josephus Van Hijningen, Tom Huiskamp
  • Patent number: 9130159
    Abstract: Disclosed is a fabrication method of an element with nanogap electrodes including a first electrode, a second electrode provided above the first electrode, and a gap provided between the first electrode and the second electrode, the gap being in an order of nanometer to allow resistive state to be switched by applying a predetermined voltage between the first electrode and the second electrode, the method comprising: forming the first electrode; forming a spacer on an upper surface of the first electrode; forming the second electrode in contact with an upper surface of the spacer; and removing the spacer to form the gap.
    Type: Grant
    Filed: April 8, 2010
    Date of Patent: September 8, 2015
    Assignee: Funai Electric Co., Ltd.
    Inventors: Shigeo Furuta, Touru Sumiya, Yuichiro Masuda, Tsuyoshi Takahashi, Yutaka Hayashi, Masatoshi Ono
  • Patent number: 9105586
    Abstract: An etching method includes preparing a target object such that a first oxide film made of silicon oxide containing at least one of B and P is formed on a substrate, a second oxide film made of silicon oxide containing neither of B and P is formed on the first oxide film, and a contact portion is present below an interface between the first oxide film and the second oxide film. The etching method further includes etching the second oxide film and the first oxide film, thereby forming a hole reaching the contact portion, and etching the first oxide film by a dry process using a gas containing HF, thereby expanding a portion of the hole adjacent to an upper side of the contact portion and inside the first oxide film.
    Type: Grant
    Filed: April 8, 2008
    Date of Patent: August 11, 2015
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Shigeki Tozawa, Yusuke Muraki
  • Publication number: 20150144595
    Abstract: A gas cluster irradiation mechanism includes at least one nozzle unit having a plurality of gas injection nozzles, and a gas supply unit for supplying the gas to the nozzle unit. The plurality of the gas injection nozzles is set such that when the gas is supplied from the gas injection nozzles at a preset flow rate a pressure in the processing chamber remains below a limit at which the gas cluster begins to be destroyed. Further, the gas injection nozzles are arranged with a preset interval between neighboring gas injection nozzle such that respective areas in which residual gas from the neighboring gas injection nozzles spreads do not overlap with each other, the residual gas being part of the gas injected from the gas injection nozzles and not contributing to generation of the gas cluster.
    Type: Application
    Filed: May 22, 2013
    Publication date: May 28, 2015
    Applicant: Tokyo Electron Limited
    Inventors: Kensuke Inai, Kazuya Dobashi
  • Publication number: 20150114564
    Abstract: In a substrate processing apparatus of the present disclosure, a bearing member includes a decaying mechanism provided with a connecting shaft inserted therein and configured to decay radicals or ions; a first member configured to cover the decaying mechanism; and a second member disposed at the connecting shaft and provided with the connecting shaft inserted therein while being in contact with a sealing member. Further, an end of the first member and an end of the second member are connected to be engaged with each other, an invasion path is formed to allow the radicals to invade from the connected portion of the end of the first member and the end of the second member, and the invasion path is formed to be folded back in an extending direction of the connecting shaft. The sealing member is made of a material having a tensile strength larger than 12.1 MPa.
    Type: Application
    Filed: October 29, 2014
    Publication date: April 30, 2015
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Toshinori KITABATA, Yusuke HAYASAKA, Kazuya MATSUMOTO
  • Publication number: 20150102396
    Abstract: Semiconductor devices suitable for narrow pitch applications and methods of fabrication thereof are described herein. In some embodiments, a semiconductor device may include a floating gate having a first width proximate a base of the floating gate that is greater than a second width proximate a top of the floating gate. In some embodiments, a method of shaping a material layer may include (a) oxidizing a surface of a material layer to form an oxide layer at an initial rate; (b) terminating formation of the oxide layer when the oxidation rate is about 90% or below of the initial rate; (c) removing at least some of the oxide layer by an etching process; and (d) repeating (a) through (c) until the material layer is formed to a desired shape. In some embodiments, the material layer may be a floating gate of a semiconductor device.
    Type: Application
    Filed: October 16, 2014
    Publication date: April 16, 2015
    Inventors: UDAYAN GANGULY, YOSHITAKA YOKOTA, JING TANG, SUNDERRAJ THIRUPAPULIYUR, CHRISTOPHER SEAN OLSEN, SHIYU SUN, TZE WING POON, WEI LIU, JOHANES SWENBERG, VICKY U. NGUYEN, SWAMINATHAR SRINIVASAN, JACOB NEWMAN