With Means For Passing Discrete Workpiece Through Plural Chambers (e.g., Loadlock) Patents (Class 156/345.31)
  • Patent number: 8746170
    Abstract: A vacuum chamber is evacuated through a first evacuation passage provided with a first valve and a second evacuation passage provided with a second valve. An opening degree of the first valve is adjusted so that a pressure in the vacuum chamber becomes substantially equal to a process pressure P; an opening degree of a butterfly valve further provided in the second evacuation passage is adjusted to substantially equal to a set value determined by a table in order to set flow rates of gases to be evacuated through the first evacuation passage and the second evacuation passage to be substantially equal to corresponding set values determined by the recipe; and an opening degree of the second valve is adjusted so that a measurement value of a differential pressure gauge further provided in the second evacuation passage becomes substantially equal to a differential pressure written in the table.
    Type: Grant
    Filed: November 1, 2010
    Date of Patent: June 10, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Kohichi Orito, Manabu Honma, Tatsuya Tamura
  • Publication number: 20140154887
    Abstract: In some embodiments, an electronic device processing system is provided that includes a processing tool having a first subsystem configured to carry out a first subset of processes on a substrate having pattern features, the first subsystem including a first conformal deposition chamber and a first etch chamber. The processing tool includes a second subsystem coupled to the first subsystem and configured to carry out a second subset of processes on the substrate, the second subsystem including a second conformal deposition chamber and a second etch chamber. The processing tool is configured to employ the first and second subsystems to perform pitch division on the substrate within the processing tool so as to form a reduced-pitch pattern on the substrate. Numerous other embodiments are provided.
    Type: Application
    Filed: December 1, 2013
    Publication date: June 5, 2014
    Inventors: Mayur Trivedi, Sushil Padiyar, Lakshmanan Karuppiah, Randhir Thakur
  • Patent number: 8741096
    Abstract: An apparatus for semiconductor processing capable of performing semiconductor processing such as etching, depositing, etc. on a surface of a substrate such as a wafer. The apparatus for semiconductor processing, comprises: a reaction chamber having a gate through which a substrate to be processed is transferred; one or more shower heads disposed at an upper side of the reaction chamber, for spraying gas so as to perform semiconductor processing; one or more wafer supporting units disposed at an inner lower side of the reaction chamber in correspondence to each of the shower heads, for supporting the substrate; a processing space forming unit disposed in the reaction chamber, for forming a processing space for semiconductor processing by sealing the shower heads and the wafer supporting units; and an exhausting system connected to the processing space forming unit for controlling a pressure and air exhaustion inside the reaction chamber and the processing space formed by the processing space forming unit.
    Type: Grant
    Filed: June 28, 2007
    Date of Patent: June 3, 2014
    Assignee: Wonik IPS Co., Ltd.
    Inventors: Sang-Jun Park, Ho-Young Lee, Chun-Woo Lee
  • Patent number: 8741062
    Abstract: An apparatus, such as an ALD (Atomic Layer Deposition) apparatus, including a precursor source configured for depositing material on a heated substrate in a deposition reactor by sequential self-saturating surface reactions. The apparatus includes an in-feed line for feeding precursor vapor from the precursor source to a reaction chamber and a structure configured for utilizing heat from a reaction chamber heater for preventing condensation of precursor vapor into liquid or solid phase between the precursor source and the reaction chamber. Also various other apparatus and methods are presented.
    Type: Grant
    Filed: April 22, 2008
    Date of Patent: June 3, 2014
    Assignee: Picosun Oy
    Inventors: Sven Lindfors, Pekka J. Soininen
  • Patent number: 8721790
    Abstract: A film deposition apparatus includes a turntable provided in the chamber and having on a first surface a substrate receiving area in which a substrate is placed; first and second reaction gas supplying portions supplying first and second reaction gases to the first surface, respectively; a separation gas supplying portion provided between the first reaction gas supplying portion and the second reaction gas supplying portion and supplying a separation gas that separates the first reaction gas and the second reaction gas; an evacuation port that evacuates the chamber; a space defining member provided for at least one of the first and second reaction gas supplying portions and defining a first space between the at least one of the first and second reaction gas supplying portions and the turntable and a second space so that the separation gas is likely to flow through the second space rather than the first space.
    Type: Grant
    Filed: December 9, 2010
    Date of Patent: May 13, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Hitoshi Kato, Manabu Honma, Kohichi Orito, Yasushi Takeuchi, Hiroyuki Kikuchi
  • Publication number: 20140086720
    Abstract: A semiconductor processing station is provided. The semiconductor processing station includes a first platform, a second platform and a vacuum tunnel, wherein the first platform has a first load lock and a first plurality of chambers, and the second platform has a second load lock and a second plurality of chambers, and the vacuum tunnel connects the first and the second load locks.
    Type: Application
    Filed: September 27, 2012
    Publication date: March 27, 2014
    Applicant: Taiwan Semiconductor Manufaturing Company, Ltd.
    Inventors: Mao-Lin KAO, Hsu-Shui Liu, Tien-Chen Hu, Li-Jen Ko, Hsiang-Yin Shen, Jiun-Rong Pai
  • Patent number: 8679307
    Abstract: An apparatus for preparing specimens for microscopy including equipment for providing two or more of each of the following specimen processing activities under continuous vacuum conditions: plasma cleaning the specimen, ion beam or reactive ion beam etching the specimen, plasma etching the specimen and coating the specimen with a conductive material. Also, an apparatus and method for detecting a position of a surface of the specimen in a processing chamber, wherein the detected position is used to automatically move the specimen to appropriate locations for subsequent processing.
    Type: Grant
    Filed: August 1, 2003
    Date of Patent: March 25, 2014
    Assignee: E.A. Fischione Instruments, Inc.
    Inventors: Paul E. Fischione, Alan C. Robins, David W. Smith, Rocco R. Cerchiara, Joseph M. Matesa, Jr.
  • Publication number: 20140076494
    Abstract: A processing system includes a transfer chamber having therein a transfer unit for transferring a substrate and at least one processing unit connected to the transfer chamber. The transfer chamber is maintained in a vacuum state. The processing unit is configured to perform a processing on a substrate. The processing unit includes a first chamber in which a first processing is performed on a substrate, and a second chamber detachably installed in the first chambers. A second processing is performed on a substrate in the second chamber installed in the first chamber. Wall portions of the first chamber and the second chamber are maintained at different temperatures.
    Type: Application
    Filed: September 16, 2013
    Publication date: March 20, 2014
    Applicant: Tokyo Electron Limited
    Inventors: Tetsuya MIYASHITA, Kaoru YAMAMOTO
  • Patent number: 8663489
    Abstract: A method for replacing plural substrates to be processed by a substrate processing apparatus which includes a substrate processing chamber, a load lock chamber, and a conveying apparatus including first and second conveying members for conveying the plural substrates into and out from the substrate processing chamber and the load lock chamber. The method includes the steps of a) conveying a first substrate out from the substrate processing chamber with the first conveying member, b) conveying a second substrate into the substrate processing chamber with the second conveying member, c) conveying the second substrate out from the load lock chamber with the second conveying member, and d) conveying the first substrate into the load lock chamber with the first conveying member. The steps c) and d) are performed between step a) and step b).
    Type: Grant
    Filed: March 26, 2010
    Date of Patent: March 4, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Shigeru Ishizawa, Hiroshi Koizumi, Tatsuya Ogi
  • Publication number: 20140048208
    Abstract: An apparatus for fabricating semiconductor devices including a load-lock part arranged adjacent to a front side of a transfer part, a cleaning part and at least two process chambers arranged side by side adjacent to a back side of the transfer part, a plasma supply module arranged at a back side of the cleaning part and configured to supply plasma to the cleaning part, and a reaction gas exhaust part coupled to the cleaning part and arranged below the transfer part and configured to exhaust a reaction gas from the cleaning part may be provided.
    Type: Application
    Filed: May 30, 2013
    Publication date: February 20, 2014
    Applicant: SAMSUNG ELECTRONICS CO., LTD.
    Inventor: Ki-Seok KIM
  • Publication number: 20140042095
    Abstract: The invention is directed to a nanosieve composite membrane, a method for preparing a nanosieve composite membrane, a roll-to-roll apparatus for carrying out the method, and a method for separating a feed flow with particulate matter. The nanosieve composite of the invention comprises an inorganic nanosieve layer supported on a porous polymer membrane substrate and a metallic adhesion layer or underlayer between the inorganic nanosieve layer and the polymer substrate, wherein said polymer membrane comprises an inorganic coating such that the polymeric support is sandwiched between the inorganic coating and the inorganic sieve layer, and wherein said inorganic nanosieve layer has an average pore diameter as determined by scanning electron microscopy of 200 nm or less.
    Type: Application
    Filed: April 26, 2012
    Publication date: February 13, 2014
    Applicant: Nederlandse Organisatie voor toegepast- natuurwetenschappelijk onderzoek TNO
    Inventors: Sandeep Unnikrishnan, Edward Willem Albert Young
  • Patent number: 8632854
    Abstract: A substrate centering device for an organic material deposition system comprises: a plurality of substrate support holders configured to be reciprocally movable in a facing direction within an organic material deposition chamber and supporting both side portions of a substrate loaded by a robot; a substrate centering unit configured to be reciprocally movable at each of the substrate support holders and centering the substrate by guiding both side portions of the substrate; and a plurality of substrate clampers configured to be reciprocally movable in a vertical direction at each of the substrate support holders, and clamping the substrate that has been centered by the substrate centering unit.
    Type: Grant
    Filed: July 15, 2013
    Date of Patent: January 21, 2014
    Assignee: Samsung Display Co., Ltd.
    Inventors: Jae-Mork Park, You-Min Cha, Won-Seok Cho, Jae-Hong Ahn, Min-Jeong Hwang
  • Publication number: 20140008021
    Abstract: A substrate treatment apparatus includes a chamber providing a reaction region and including first and second sides facing each other, a module connected to the first side, an upper electrode in the reaction region, a substrate holder facing the upper electrode, wherein a substrate is disposed on the substrate holder, and first and second points are defined on the substrate, wherein the first point corresponds to a center of the substrate, and the second point is distant from the first point toward the first side, and a feeding line for applying an RF power, the feeding line connected to the upper electrode corresponding to the second point.
    Type: Application
    Filed: September 6, 2013
    Publication date: January 9, 2014
    Applicant: JUSUNG ENGINEERING CO., LTD.
    Inventor: Ho Chul KANG
  • Patent number: 8623765
    Abstract: A processed object processing apparatus which enables a plurality of processes to be carried out efficiently. A plurality of treatment systems are communicably connected together in a line and in which the objects to be processed are processed. A load lock system is communicably connected to the treatment systems and has a transfer mechanism that transfers the objects to be processed into and out of each of the treatment systems. At least one of the treatment systems is a vacuum treatment system, and the load lock system is disposed in a position such as to form a line with the treatment systems.
    Type: Grant
    Filed: August 17, 2009
    Date of Patent: January 7, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Jun Ozawa, Gaku Takahashi
  • Patent number: 8623142
    Abstract: A coating apparatus includes a base, actuators, separating boards and a gas guide grill. The base includes a carrying surface for supporting a workpiece. The base defines recesses on the carrying surface. The actuators include shafts rotatably located in the recesses correspondingly, and motors for driving the shafts. The separating boards are located above the carrying surface and securely connected to the shafts. The separating boards define chambers therebetween. The separating boards are capable of being rotated toward the carrying surface by the shafts. The gas guide grill is located above the base. The gas guide grill defines gas guide holes corresponding to the chambers respectively.
    Type: Grant
    Filed: November 16, 2010
    Date of Patent: January 7, 2014
    Assignee: Hon Hai Precision Industry Co., Ltd.
    Inventor: Shao-Kai Pei
  • Patent number: 8617347
    Abstract: A method and apparatus for vacuum processing of a workpiece, the apparatus including a flow equalizer disposed in a vacuum processing chamber between a workpiece support pedestal and a pump port located in a wall of the vacuum processing chamber. In an embodiment, the flow equalizer has a first annular surface concentric about the workpiece support pedestal to provide conductance symmetry about the workpiece support even when the pump port is asymmetrically positioned within the vacuum processing chamber. In an embodiment, the flow equalizer has a second annular surface facing a lower surface of the workpiece support pedestal to restrict conductance as the flow equalizer is moved is response to a chamber pressure control signal. In an embodiment, the apparatus for vacuum processing of a workpiece includes tandem vacuum processing chambers sharing a vacuum pump with each tandem chamber including a flow equalizer to reduce cross-talk between the tandem chambers.
    Type: Grant
    Filed: August 6, 2009
    Date of Patent: December 31, 2013
    Assignee: Applied Materials, Inc.
    Inventors: Jisoo Kim, Thorsten B. Lill
  • Patent number: 8617348
    Abstract: Etching of nitride and oxide layers with reactant gases is modulated by etching in different process regimes. High etch selectivity to silicon nitride is achieved in an adsorption regime where the partial pressure of the etchant is lower than its vapor pressure. Low etch selectivity to silicon nitride is achieved in a condensation regime where the partial pressure of the etchant is higher than its vapor pressure. By controlling partial pressure of the etchant, very high etch selectivity to silicon nitride may be achieved.
    Type: Grant
    Filed: May 1, 2012
    Date of Patent: December 31, 2013
    Assignee: Novellus Systems, Inc.
    Inventors: Xinye Liu, Chiukin Steven Lai
  • Publication number: 20130340939
    Abstract: This disclosure relates to a substrate processing system for substrates with a surface area of greater than 1 m2. The system may include, but is not limited to, load locks and processing chambers that are aligned in a vertical manner. For example, the load locks may be arranged above or below the processing chambers. In turn, the processing chambers may be stacked upon each other in a vertical arrangement. A transfer chamber may also be used to transfer substrates between the load locks and the process chambers. The substrate transfer process may be done under vacuum conditions.
    Type: Application
    Filed: June 20, 2013
    Publication date: December 26, 2013
    Inventors: Christian EGLI, Damian EHRENSPERGER
  • Patent number: 8608422
    Abstract: In order to prevent particles within a unit from sticking to a substrate in a substrate processing process, an ion generator charges the particles. At the same time, a direct current voltage of the same polarity as the charged polarity of the particles is applied from a direct current power source to the substrate. In order to prevent generation of particles when producing gas plasma, a high-frequency voltage is applied to the upper and lower electrodes at multiple stages to produce plasma. In other words, at a first step, a minimum high-frequency voltage at which plasma can be ignited is applied to the upper and lower electrodes, thereby producing a minimum plasma. Thereafter, the applied voltage is increased in stages to produce predetermined plasma.
    Type: Grant
    Filed: October 7, 2004
    Date of Patent: December 17, 2013
    Assignee: Tokyo Electron Limited
    Inventors: Tsuyoshi Moriya, Hiroshi Nagaike, Teruyuki Hayashi, Kaoru Fujihara
  • Patent number: 8573154
    Abstract: The present invention relates to a plasma film forming apparatus. In the plasma film forming apparatus, a flow control jig is disposed between a plasma nozzle and a film formation region of a substrate. The flow control jig has a plasma supply path, a raw material supply path, a film formation joined path formed by combining the plasma supply path and the raw material supply path, an exhaust path for discharging a plasma discharge gas and an unreacted raw material transported from the film formation region, and a recovery path for returning the unreacted raw material in the exhaust path to the plasma supply path.
    Type: Grant
    Filed: January 3, 2011
    Date of Patent: November 5, 2013
    Assignee: Honda Motor Co., Ltd.
    Inventor: Shunichi Yorozuya
  • Patent number: 8562275
    Abstract: A transfer device 17 in a semiconductor processing system includes first and second actuation mechanisms 9A, 9B having first and second support sections movable on first and second vertical planes, respectively, the latter being parallel with each other. First and second movable blocks 18A, 18B are supported on the first and second support sections so that they may be horizontally moved by the first and second actuation mechanisms. Disposed on the first and second movable blocks are first and second handling mechanism 19A, 19B capable of extension and contraction for handling a processing subject substrate W. A control section 20 controls the operation of the first and second actuation mechanisms so that the first and second movable blocks may not interfere with each other.
    Type: Grant
    Filed: April 16, 2012
    Date of Patent: October 22, 2013
    Assignee: Tokyo Electron Limited
    Inventor: Tsutomu Hiroki
  • Patent number: 8562744
    Abstract: A coating device includes two workspaces, two first slide rails, two second slide rails, two transporting loops, a number of rotating platforms, and a number of loading poles. The first slide rails are respectively fixed on the bottoms of the workspaces. The second slide rails are respectively fixed on the tops of the workspaces. The transporting loops are movably positioned on the first slide rails respectively. The rotating platforms are rotatably positioned on the transporting loops and capable of being driven by the transporting loops to rotate and slide along the first slide rails. The loading poles are positioned between the rotating platforms and the second slide rails, and are used for holding substrates. The loading poles are capable of being transported from one workspace to another workspace.
    Type: Grant
    Filed: December 13, 2010
    Date of Patent: October 22, 2013
    Assignee: Hon Hai Precision Industry Co., Ltd.
    Inventor: Chia-Ying Wu
  • Patent number: 8536550
    Abstract: A method for cleaning collector mirrors in an EUV light generator in which a target is made into a plasma state and EUV light generated is collected by a collector mirror, the method being adopted to the EUV light generator for cleaning contaminants adhering thereto, the method comprising: preparing at least two collector mirrors; locating one of the mirrors at an EUV light condensing position while locating the other mirror at a cleaning position; determining whether the mirror at the cleaning position is cleaned while determining whether the mirror at the light condensing position requires cleaning; and once determined that the mirror at the cleaning position is cleaned and the mirror at the light condensing position requires cleaning, conveying the mirror at the light condensing position and requiring cleaning to the cleaning position while conveying the mirror at the cleaning position and having been cleaned to the light condensing position.
    Type: Grant
    Filed: June 4, 2009
    Date of Patent: September 17, 2013
    Assignee: Gigaphoton Inc.
    Inventors: Takeshi Asayama, Hiroshi Someya, Masato Moriya, Hideo Hoshino, Tamotsu Abe
  • Patent number: 8525139
    Abstract: A wafer is provided into an entrance load lock chamber. A vacuum is created in the entrance load lock chamber. The wafer is transported to a processing tool. The wafer is processed in a process chamber to provide a processed wafer, wherein the processing forms halogen residue. A degas step is provided in the process chamber after processing the wafer. The processed wafer is transferred into a degas chamber. The processed wafer is treated in the degas chamber with UV light and a flow of gas comprising at least one of ozone, oxygen, or H2O. The flow of gas is stopped. The UV light is stopped. The processed wafer is removed from the degas chamber.
    Type: Grant
    Filed: October 20, 2010
    Date of Patent: September 3, 2013
    Assignee: Lam Research Corporation
    Inventors: Harmeet Singh, Sanket Sant, Shang-I Chou, Vahid Vahedi, Raphael Casaes, Seetharaman Ramachandran
  • Publication number: 20130220546
    Abstract: An apparatus for formation of element(s) of an electrochemical cell using a complete process. The apparatus includes a first work piece configured to a transfer device, a source of material in fluid form, a reaction region operably coupled to the source of material and a second work piece configured within a distance of the reaction region. The apparatus also has an energy source configured to the reaction region to subject a portion of the material to energy to substantially evaporate the portion of the material within a time period and cause deposition of a gaseous species derived from the evaporated material onto a surface region of the second work piece to form a thickness of material for a component of the solid state electrochemical device and a vacuum chamber to maintain at least the first and second work pieces, the reaction region, and the material within a vacuum environment.
    Type: Application
    Filed: April 1, 2013
    Publication date: August 29, 2013
    Applicant: Sakti 3, Inc.
    Inventor: Sakti 3, Inc.
  • Publication number: 20130224953
    Abstract: Embodiments of the present invention a load lock chamber including two or more isolated chamber volumes, wherein one chamber volume is configured for processing a substrate and another chamber volume is configured to provide cooling to a substrate. One embodiment of the present invention provides a load lock chamber having at least two isolated chamber volumes formed in a chamber body assembly. The at least two isolated chamber volumes may be vertically stacked. A first chamber volume may be used to process a substrate disposed therein using reactive species. A second chamber volume may include a cooled substrate support.
    Type: Application
    Filed: January 22, 2013
    Publication date: August 29, 2013
    Inventors: Martin Jeffrey SALINAS, Paul B. REUTER, Andrew NGUYEN, Jared Ahmad LEE
  • Publication number: 20130213574
    Abstract: A method and apparatus for cleaning a process chamber are provided. In one embodiment, a process chamber is provided that includes a remote plasma source and a process chamber having at least two processing regions. Each processing region includes a substrate support assembly disposed in the processing region, a gas distribution system configured to provide gas into the processing region above the substrate support assembly, and a gas passage configured to provide gas into the processing region below the substrate support assembly. A first gas conduit is configured to flow a cleaning agent from the remote plasma source through the gas distribution assembly in each processing region while a second gas conduit is configured to divert a portion of the cleaning agent from the first gas conduit to the gas passage of each processing region.
    Type: Application
    Filed: November 14, 2012
    Publication date: August 22, 2013
    Inventors: Ramprakash Sankarakrishnan, Dale R. Du Bois, Ganesh Balasubramanian, Karthik Janakiraman, Juan Carlos Rocha-Alvarez, Thomas Nowak, Visweswaren Sivaramakrishnan, Hichem M'Saad
  • Patent number: 8512473
    Abstract: A substrate centering device for an organic material deposition system comprises: a plurality of substrate support holders configured to be reciprocally movable in a facing direction within an organic material deposition chamber and supporting both side portions of a substrate loaded by a robot; a substrate centering unit configured to be reciprocally movable at each of the substrate support holders and centering the substrate by guiding both side portions of the substrate; and a plurality of substrate clampers configured to be reciprocally movable in a vertical direction at each of the substrate support holders, and clamping the substrate that has been centered by the substrate centering unit.
    Type: Grant
    Filed: September 14, 2010
    Date of Patent: August 20, 2013
    Assignee: Samsung Display Co., Ltd.
    Inventors: Jae-Mork Park, You-Min Cha, Won-Seok Cho, Jae-Hong Ahn, Min-Jeong Hwang
  • Patent number: 8491751
    Abstract: A diameter of a mounting unit of the stage of an ashing processing apparatus is less than a diameter of a mounting unit of the stage of an etching processing apparatus, and the diameter of the mounting unit of the stage of the etching processing apparatus is less than a diameter of an objective item.
    Type: Grant
    Filed: April 26, 2012
    Date of Patent: July 23, 2013
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Hiroyuki Kobayashi, Masaru Izawa
  • Patent number: 8475623
    Abstract: A substrate processing method is used for a substrate processing system having a substrate processing device and a substrate transfer device. The substrate processing method includes a substrate transfer step of transferring a substrate and a substrate processing step of performing a predetermined process on the substrate. The substrate transfer step and the substrate processing step include a plurality of operations, and at least two operations among the plurality of the operations are performed simultaneously. Preferably, the substrate processing device includes an accommodating chamber, a mounting table placed in the accommodating chamber to be mounted thereon the substrate, and a heat transfer gas supply line for supplying a heat transfer gas to a space between the substrate mounted on the mounting table and the mounting table.
    Type: Grant
    Filed: August 2, 2012
    Date of Patent: July 2, 2013
    Assignee: Tokyo Electron Limited
    Inventors: Seiichi Kaise, Noriyuki Iwabuchi, Shigeaki Kato, Hiroshi Nakamura, Takeshi Yokouchi, Mariko Shibata, Akira Obi
  • Patent number: 8467895
    Abstract: An operating method is disclosed for a processing system that comprises multiple process modules each adapted to perform substantially the same process upon a substrate. During process module conditioning as a preparatory step for executing a required process recipe, each time one process module completes conditioning, successive transfer of unprocessed substrates from a cassette to the process module is started on an associated substrate transfer route, and successive processes that use the process module are started for the unprocessed substrates. The processing system can be operated efficiently, even if the nonuniformity of the conditioning time required exists between process modules of the same specifications.
    Type: Grant
    Filed: October 6, 2006
    Date of Patent: June 18, 2013
    Assignee: Tokyo Electron Limited
    Inventors: Koichi Sekido, Hirofumi Yamaguchi, Bae Junghwan
  • Patent number: 8460468
    Abstract: A device for doping, deposition or oxidation of semiconductor material at low pressure in a process tube, is provided with a tube closure as well as devices for supplying and discharging process gases and for generating a negative pressure in the process tube. A closure of the process chamber that is gas tight with respect to the process gases and the vacuum tight seal of the end of the tube closure are spatially separated from each other in relation to the atmosphere and are arranged on a same side of the process tube in such a manner that a bottom of a stopper, sealing the process chamber, rests against a sealing rim of the process tube and the tube closure end is sealed vacuum tight by a collar, which is attached to the process tube and against which a door rests sealingly.
    Type: Grant
    Filed: August 1, 2012
    Date of Patent: June 11, 2013
    Assignee: Centrotherm Photovoltaics AG
    Inventors: Alexander Piechulla, Claus Rade, Robert Michael Hartung
  • Patent number: 8454750
    Abstract: The present invention addresses provides improved methods of preparing a low-k dielectric material on a substrate. The methods involve multiple operation ultraviolet curing processes in which UV intensity, wafer substrate temperature and other conditions may be independently modulated in each operation. In certain embodiments, a film containing a structure former and a porogen is exposed to UV radiation in a first operation to facilitate removal of the porogen and create a porous dielectric film. In a second operation, the film is exposed to UV radiation to increase cross-linking within the porous film. In certain embodiments, the curing takes place in a multi-station UV chamber wherein UV intensity and substrate temperature may be independently controlled at each station.
    Type: Grant
    Filed: March 20, 2007
    Date of Patent: June 4, 2013
    Assignee: Novellus Systems, Inc.
    Inventors: Krishnan Shrinivasan, Michael Rivkin, Eugene Smargiassi, Mohamed Sabri
  • Patent number: 8440048
    Abstract: A load lock includes a chamber including an upper portion, a lower portion, and a partition between the upper portion and the lower portion, the partition including an opening therethrough. The load lock further includes a first port in communication with the upper portion of the chamber and a second port in communication with the lower portion of the chamber. The load lock includes a rack disposed within the chamber and a workpiece holder mounted on a first surface of the rack, wherein the rack and the workpiece holder are movable by an indexer that is capable of selectively moving wafer slots of the rack into communication with the second port. The indexer can also move the rack into an uppermost position, at which the first surface of the boat and the partition sealingly separate the upper portion and the lower portion to define an upper chamber and a lower chamber. Auxiliary processing, such as wafer pre-cleaning, or metrology can be conducted in the upper portion.
    Type: Grant
    Filed: January 27, 2010
    Date of Patent: May 14, 2013
    Assignee: ASM America, Inc.
    Inventors: Ravinder Aggarwal, Jeroen Stoutjesdijk, Eric Hill, Loring G. Davis, John T. DiSanto
  • Publication number: 20130109189
    Abstract: A system for plasma processing of wafers at high throughput, particularly suitable for processing solar cells. A loading station has a loading conveyor, a loading transport mechanism, and a chuck loading station accepting transportable electrostatic chucks, wherein the loading transport mechanism is configured to remove wafers from the conveyor and place them on the transportable electrostatic chucks. The transportable chuck is delivered to at least one processing chamber to perform plasma processing of wafers. An unloading station has an unloading conveyor, an unloading transport mechanism, and a chuck unloading station accepting the transportable electrostatic chucks from the processing chamber, wherein the unloading transport mechanism is configured to remove wafers from the transportable electrostatic chucks and place them on the conveyor. A chuck return module configured for transporting the transportable electrostatic chucks from the chuck unloading station to the chuck loading station.
    Type: Application
    Filed: November 1, 2012
    Publication date: May 2, 2013
    Applicant: INTEVAC, INC.
    Inventor: Intevac, Inc.
  • Patent number: 8430992
    Abstract: Protective self aligned buffer (PSAB) layers are layers of material that are selectively formed at the surface of metal layers in a partially fabricated semiconductor device. In a Damascene interconnect, PSAB layer typically resides at an interface between the metal layer and a dielectric diffusion barrier layer. PSAB layers promote improved adhesion between a metal layer and an adjacent dielectric diffusion barrier layer. Further, PSAB layers can protect metal surfaces from inadvertent oxidation during fabrication process. A PSAB layer may be formed entirely within the top portion of a metal layer, by, for example, chemically converting metal surface to a thin layer of metal silicide. Thickness of PSAB layers, and, consequently resistance of interconnects can be controlled by partially passivating metal surface prior to formation of PSAB layer. Such passivation can be accomplished by controllably treating metal surface with a nitrogen-containing compound to convert metal to metal nitride.
    Type: Grant
    Filed: April 20, 2010
    Date of Patent: April 30, 2013
    Assignee: Novellus Systems, Inc.
    Inventors: Kaushik Chattopadhyay, Bart van Schravendijk
  • Patent number: 8430961
    Abstract: The present invention generally comprises a method and an apparatus for guiding the flow of processing gases away from chamber walls and slit valve opening. By controlling the flow path of the process gases within a processing chamber, undesirable deposition upon chamber walls and within slit valve openings may be reduced. By reducing deposition in slit valve openings, flaking may be reduced. By reducing deposition on chamber walls, the time between chamber cleaning may be increased. Thus, guiding the flow of processing gases within the processing chamber may increase substrate throughput.
    Type: Grant
    Filed: September 5, 2008
    Date of Patent: April 30, 2013
    Assignee: Applied Materials, Inc.
    Inventors: Beom Soo Park, Young Jin Choi, Robin L. Tiner, Sam H. Kim, Soo Young Choi, John M. White, Dong-Kil Yim
  • Publication number: 20130095665
    Abstract: A substrate processing system comprises a first processing module in which a process gas is supplied to a substrate to etch a silicon oxide layer formed on the substrate and a second processing module in which an activated oxygen gas is supplied to the substrate. With the system and a method using the same, the silicon oxide layer can be etched and a condensation layer and/or fumes and/or photoresist residues can be removed in a cost-effective way.
    Type: Application
    Filed: November 29, 2012
    Publication date: April 18, 2013
    Applicant: TES CO. LTD.
    Inventor: TES CO. LTD.
  • Patent number: 8419341
    Abstract: There is described apparatus and methods for transporting and processing substrates including wafers as to efficiently produce at reasonable costs improved throughput as compared to systems in use today. A linear transport chamber includes linear tracks and robot arms riding on the linear tracks to linearly transfer substrates along the sides of processing chambers for feeding substrates into a controlled atmosphere through a load lock and then along a transport chamber as a way of reaching processing chambers. A four-axis robot arm is disclosed, capable of linear translation, rotation and articulation, and z-motion.
    Type: Grant
    Filed: September 3, 2010
    Date of Patent: April 16, 2013
    Assignee: Brooks Automation, Inc.
    Inventors: Gee Sun Hoey, Terry Bluck, Hoang Huy Vu, Jimin Ryu
  • Patent number: 8414703
    Abstract: An integrated processing tool is described comprising a full-wafer processing module and a combinatorial processing module. Chemicals for use in the combinatorial processing module are fed from a delivery system including a set of first manifolds. An output of each first manifold is coupled to at least one mixing vessel. An output of each mixing vessel feeds more than one of a set of second manifolds. An output of each set of second manifolds feeds one of multiple site-isolated reactors of the combinatorial processing module.
    Type: Grant
    Filed: May 21, 2012
    Date of Patent: April 9, 2013
    Assignee: Intermolecular, Inc.
    Inventors: Kurt H. Weiner, Tony P. Chiang, Aaron Francis, John Schmidt
  • Patent number: 8414702
    Abstract: A plasma processing apparatus is described and which includes a chamber having at least two processing stations which are separated by a wall. At least one channel is formed in the wall, and wherein the channel has a width to length ratio of less than about 1:3.
    Type: Grant
    Filed: April 7, 2011
    Date of Patent: April 9, 2013
    Assignee: Advanced Micro-Fabrication Equipment, Inc. Asia
    Inventor: Qing Qian
  • Patent number: 8409353
    Abstract: A method and apparatus for oxidizing materials used in semiconductor integrated circuits, for example, for oxidizing silicon to form a dielectric gate. An ozonator is capable of producing a stream of least 70% ozone. The ozone passes into an RTP chamber through a water-cooled injector projecting into the chamber. Other gases such as hydrogen to increase oxidation rate, diluent gas such as nitrogen or O2, enter the chamber through another inlet. The chamber is maintained at a low pressure below 20 Torr and the substrate is advantageously maintained at a temperature less than 800° C. Alternatively, the oxidation may be performed in an LPCVD chamber including a pedestal heater and a showerhead gas injector in opposition to the pedestal.
    Type: Grant
    Filed: October 20, 2011
    Date of Patent: April 2, 2013
    Assignee: Applied Materials, Inc.
    Inventors: Yoshitaka Yokota, Sundar Ramamurthy, Vedapuram Achutharaman, Cory Czarnik, Mehran Behdjat, Christopher Olsen
  • Patent number: 8409399
    Abstract: A chemical oxide removal (COR) processing system is presented, wherein the COR processing system includes a first treatment chamber and a second treatment chamber. The first treatment chamber comprises a chemical treatment chamber that provides a temperature controlled chamber having a protective barrier. The second treatment chamber comprises a heat treatment chamber that provides a temperature-controlled chamber having a protective barrier.
    Type: Grant
    Filed: May 21, 2009
    Date of Patent: April 2, 2013
    Assignee: Tokyo Electron Limited
    Inventors: Arthur H. LaFlamme, Jr., Thomas Hamelin, Jay R Wallace
  • Patent number: 8398813
    Abstract: The present invention provides a processing apparatus and a processing method, both of which can carry out a low-temperature process to allow active gas species to react with an oxide film on an object to be processed to form a product film and a heating process to heat the object to a predetermined temperature to evaporate the product film, in succession. This processing apparatus 12 is provided with a shielding plate 103 capable of entering a gap between the object W and a transparent window 28 and also withdrawing from the gap. On condition that the shielding plate 103 is closed to cut off irradiation heat from the transparent window 28, the product film is formed by allowing the active gas species of NF3 gas to react with a native oxide film on the object under the low-temperature condition. After that, upon closing the shielding plate 103, the native oxide film is removed by applying heat irradiated from a heating lamp 36 to the product film through the transparent window 28.
    Type: Grant
    Filed: October 31, 2007
    Date of Patent: March 19, 2013
    Assignee: Tokyo Electron Limited
    Inventors: Yasuo Kobayashi, Masao Yoshioka
  • Patent number: 8388397
    Abstract: The present invention provides a liquid crystal cell manufacturing device and a method thereof. The liquid crystal cell manufacturing device includes a pre-alignment vacuum chamber, a vacuum lamination chamber and a sealant curing chamber. The pre-alignment vacuum chamber includes a comb-type transferring system for aligning a first substrate with a second substrate and sending them into the vacuum lamination chamber. The vacuum lamination chamber uses a lamination device to laminate the first substrate and the second substrate into a substrate assembly under a nearly vacuum status, and then uses a transferring device to send the substrate assembly to the sealant curing chamber. The sealant curing chamber uses at least one UV spot light source to move above the substrate assembly and irradiate a surface of the substrate assembly to cure at least one sealant in the substrate assembly, and thereby complete manufacture of liquid crystal cells of liquid crystal panels.
    Type: Grant
    Filed: November 22, 2010
    Date of Patent: March 5, 2013
    Assignee: Shenzhen China Star Optoelectronics Technology Co., Ltd.
    Inventor: Yun Wang
  • Patent number: 8382938
    Abstract: A gate valve cleaning method that can clean a gate valve that brings an atmospheric transfer chamber and an internal pressure variable transfer chamber that transfer a substrate into communication with each other or shuts them off from each other without bringing about a decrease in the throughput of a substrate processing system. Before the gate valve brings the atmospheric transfer chamber and the internal pressure variable transfer chamber into communication with each other, the pressure in the internal pressure variable transfer chamber is increased so that the pressure in the internal pressure variable transfer chamber can become higher than the pressure in the atmospheric transfer chamber.
    Type: Grant
    Filed: September 23, 2011
    Date of Patent: February 26, 2013
    Assignee: Tokyo Electron Limited
    Inventors: Tsuyoshi Moriya, Hiroyuki Nakayama, Keisuke Kondoh, Hiroki Oka
  • Patent number: 8377213
    Abstract: Methods and apparatus for increasing flow uniformity are provided herein. In some embodiments, a slit valve having increased flow uniformity may be provided, the slit valve may include a housing having an opening disposed therethrough, the opening configured to allow a substrate to pass therethrough; a gas inlet formed in the housing; an outer plenum disposed in the housing and coupled to the gas inlet; an inner plenum disposed in the housing and coupled to the outer plenum via a plurality of holes; and a plurality of gas outlets disposed in the housing and fluidly coupling the opening to the inner plenum.
    Type: Grant
    Filed: May 5, 2008
    Date of Patent: February 19, 2013
    Assignee: Applied Materials, Inc.
    Inventors: Chandrasekhar Balasubramanyam, Helder Lee, Miriam Schwartz, Elizabeth Wu, Kedarnath Sangam
  • Patent number: 8375891
    Abstract: There is provided a vacuum evaporating apparatus which is suitable for performing a process in which a metal vapor atmosphere is formed in a processing chamber, the metal atoms in this metal vapor atmosphere are caused to be adhered to the surface of an object to be processed, and the metal atoms adhered to the surface of the object to be processed are diffused into grain boundary phases thereof. The apparatus comprises: a processing furnace (11); at least one processing box (4) disposed inside the processing furnace; and a heating means (2) provided inside the processing furnace so as to enclose the processing box. An evacuating means is provided which, after housing the processing box inside the processing furnace in a state in which the object to be processed (S) and the metal evaporating material (V) are disposed in the processing box, reduces the processing furnace and the processing box to a predetermined pressure and keep them at that pressure.
    Type: Grant
    Filed: September 10, 2007
    Date of Patent: February 19, 2013
    Assignee: Ulvac, Inc.
    Inventors: Hiroshi Nagata, Kyuzo Nakamura, Takeo Katou, Atsushi Nakatsuka, Ichirou Mukae, Masami Itou, Ryou Yoshiizumi, Yoshinori Shingaki
  • Patent number: 8371792
    Abstract: A substrate processing apparatus including a transport chamber having an end and defining more than one substantially linear substrate transport zone where each transport zone extends longitudinally along the transport chamber between opposing walls of the transport chamber and at least one of the more than one substantially linear substrate transport zones is configured as a supply zone for enabling transport of substrates from the end and at least one of the more than one substantially linear substrate transport zones is configured as a return zone for enabling transport of substrates to the end, and at least one substrate transport located in and movably mounted to the transport chamber for transporting substrates along the more than one substantially linear substrate transport zone, where each substrate transport zone is configured to allow the at least one substrate transport to move from one transport zone to another transport zone.
    Type: Grant
    Filed: August 1, 2011
    Date of Patent: February 12, 2013
    Assignee: Brooks Automation, Inc.
    Inventors: Christopher Hofmeister, Robert T. Caveney
  • Patent number: 8366829
    Abstract: A tandem processing-zones chamber having plasma isolation and frequency isolation is provided. At least two RF frequencies are fed from the cathode for each processing zones, where one frequency is about ten times higher than the other, so as to provide decoupled reactive ion etch capability. The chamber body is ground all around and in-between the two processing zones. The use of frequency isolation enables feed of multiple RF frequencies from the cathode, without having crosstalk and beat. A plasma confinement ring is also used to prevent plasma crosstalk. A grounded common evacuation path is connected to a single vacuum pump.
    Type: Grant
    Filed: July 2, 2007
    Date of Patent: February 5, 2013
    Assignee: Advanced Micro-Fabrication Equipment, Inc. Asia
    Inventors: Gerald Yin, Tuqiang Ni, Jinyuan Chen, Xueyu Qian