With Robot Arm Connected By Doors To Plural Other Chambers (i.e., Cluster Tool) Patents (Class 156/345.32)
  • Patent number: 11898246
    Abstract: A vapor deposition device is provided that can ameliorate or improve the LPD quality. A vapor deposition device includes a first holder that supports a carrier at a topmost-level and a second holder that supports the carrier under the first holder in a load-lock chamber, and a second robot mounts a before-treatment wafer extracted from a wafer storage container on the carrier standing by at the first holder in the load-lock chamber.
    Type: Grant
    Filed: November 5, 2019
    Date of Patent: February 13, 2024
    Assignee: SUMCO CORPORATION
    Inventors: Naoyuki Wada, Yu Minamide
  • Patent number: 11842481
    Abstract: A method includes: receiving a defect map from a defect scanner, wherein the defect map comprises at least one defect location of a semiconductor workpiece; annotating the defect map with a reference fiducial location of the semiconductor workpiece; determining a detected fiducial location within image data of the semiconductor workpiece; determining an offset correction based on comparing the detected fiducial location with the reference fiducial location; producing a corrected defect map by applying the offset correction to the defect map, wherein the applying the offset correction translocates the at least one defect location; and transferring the corrected defect map to a defect reviewer configured to perform root cause analysis based on the corrected defect map.
    Type: Grant
    Filed: August 4, 2022
    Date of Patent: December 12, 2023
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Chien-Ko Liao, Ya-Hsun Hsueh, Sheng-Hsiang Chuang, Hsu-Shui Liu, Jiun-Rong Pai, Shou-Wen Kuo
  • Patent number: 11837478
    Abstract: A process chamber includes one or more vertical walls at least partially defining a chamber portion of the process chamber, and multiple zones located about a periphery of the one or more vertical walls, wherein one or more of the multiple zones extends from a top to a bottom of the one or more vertical walls. The process chamber further includes a plurality of temperature control devices, each thermally coupled to the one or more vertical walls in one of the multiple zones, and a controller coupled to the plurality of temperature control devices and configured to set temperatures of one or more of the plurality of temperature control devices to obtain temperature uniformity within 2% across a substrate located in the chamber portion.
    Type: Grant
    Filed: July 29, 2021
    Date of Patent: December 5, 2023
    Assignee: Applied Materials, Inc.
    Inventor: Paul Z. Wirth
  • Patent number: 11658051
    Abstract: A substrate transport system includes a carrier having a housing forming an interior environment having an opening for holding at least one substrate and a door for sealing the opening from an outside atmosphere where when sealed the interior environment is configured to maintain an interior atmosphere therein, the housing including a fluid reservoir exterior to the interior environment and configured to contain a fluid, forming a different atmosphere in the fluid reservoir than the interior atmosphere, to form a fluidic barrier seal that seals the interior environment from an environment exterior to the carrier.
    Type: Grant
    Filed: September 14, 2021
    Date of Patent: May 23, 2023
    Assignee: Brooks Automation US, LLC
    Inventors: Daniel Babbs, Robert T Caveney, Robert C May, Krzysztof A Majczak
  • Patent number: 11592394
    Abstract: The present disclosure provides systems and methods for characterizing the interaction of free radicals with various materials and the use of known interactions to isolate free radical generation from free radical interaction with a target molecule.
    Type: Grant
    Filed: August 14, 2017
    Date of Patent: February 28, 2023
    Assignee: Wisconsin Alumni Research Foundation
    Inventors: J. Leon Shohet, Michael R. Sussman, Faraz A. Choudhury, Benjamin B. Minkoff, Grzegorz Sabat, Joshua M. Blatz
  • Patent number: 11569111
    Abstract: A substrate transport apparatus having a drive section and at least one articulated multi-link arm having an upper arm joined at one end to the drive section and a forearm joined to the upper arm. The upper arm being a substantially rigid unarticulated link. Dual end effector links that are separate and distinct from each other are each rotatably and separately joined to a common end of the forearm about a common axis of rotation. Each end effector link has at least one holding station. The holding station of at least one end effector link includes one holding station at opposite ends of the at least one end effector link that is substantially rigid and unarticulated between the opposite ends, and the holding station at one of the opposite ends is substantially coplanar with the holding station of each other end effector link.
    Type: Grant
    Filed: December 1, 2020
    Date of Patent: January 31, 2023
    Assignee: Brooks Automation US, LLC
    Inventors: Alexander Krupyshev, Joseph Hallisey, Kevin Bourbeau, Emilien Audebrand
  • Patent number: 11479855
    Abstract: Apparatus and methods to process one or more wafers are described. A processing chamber comprises a first processing station comprising a first gas injector having a first face, a first emissivity and a first temperature, a second processing station comprising a second gas injector having a second face, a second emissivity and a second temperature, and a substrate support assembly comprising a plurality of substantially coplanar support surfaces, the substrate support assembly configured to move the support surfaces between the first processing station and the second processing station. When a wafer is on the support surfaces, a temperature skew of less than about 0.5° C. is developed upon moving the wafer between the stations in about 0.5 seconds.
    Type: Grant
    Filed: August 25, 2020
    Date of Patent: October 25, 2022
    Assignee: Applied Materials, Inc.
    Inventors: Joseph AuBuchon, Sanjeev Baluja, Dhritiman Subha Kashyap, Jared Ahmad Lee, Tejas Ulavi, Michael Rice
  • Patent number: 11387125
    Abstract: Proposed is an EFEM configured to perform wafer transfer between a wafer storage device and process equipment. More particularly, proposed is an EFEM that prevents harmful gases inside a transfer chamber in which wafer transfer is performed from escaping out of the EFEM.
    Type: Grant
    Filed: November 30, 2020
    Date of Patent: July 12, 2022
    Assignee: PICO & TERA CO., LTD.
    Inventor: Bum Je Woo
  • Patent number: 11107709
    Abstract: A temperature-controllable process chamber configured to process substrates may include one or more vertical walls at least partially defining a chamber portion of the process chamber. Multiple zones may be located about a periphery of the one or more vertical walls and multiple temperature control devices are thermally coupled to the periphery of the one or more vertical walls in each of the multiple zones. A controller coupled to the temperature control devices may be configured to individually control temperatures of the multiple temperature control devices to obtain substantial temperature uniformity across a substrate located in the chamber portion. Other systems and methods of manufacturing substrates are disclosed.
    Type: Grant
    Filed: January 30, 2019
    Date of Patent: August 31, 2021
    Assignee: Applied Materials, Inc.
    Inventor: Paul Z. Wirth
  • Patent number: 11004710
    Abstract: Methods and systems of detection of wafer placement error in a semiconductor processing chamber are disclosed. Methods and systems of interdiction are also disclosed to prevent hardware and wafer damage during semiconductor fabrication if and when a wafer placement error is detected. The method—is based on measuring a slope of current in an electrostatic chuck (ESC), which is correlated to lack of contact between the wafer and the ESC. Wafer placement detection at an early stage, when a heater and an ESC are being set up, gives the option of stopping the process before high power RF plasma is created.
    Type: Grant
    Filed: June 4, 2019
    Date of Patent: May 11, 2021
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Hemant Mungekar, Ganesh Balasubramanian
  • Patent number: 10770268
    Abstract: In a plasma processing method, a carbon-containing film is formed on surfaces of components in a chamber by using a plasma of a carbon-containing gas, and a silicon-containing film whose film thickness is determined based on a film thickness of the carbon-containing film is formed on a surface of the carbon-containing film by a silicon-containing gas. Then, a target object is loaded into the chamber and processed by a plasma of a processing gas after the formation of the silicon-containing film. The silicon-containing film is removed from the surface of the carbon-containing film by using a plasma of a fluorine-containing gas after the target object processed by the plasma is unloaded from the chamber, and the carbon-containing film is removed from the surfaces of the components by using a plasma of an oxygen-containing gas.
    Type: Grant
    Filed: January 9, 2018
    Date of Patent: September 8, 2020
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Shinya Morikita, Takanori Banse, Takahisa Iwasaki, Ryosuke Niitsuma, Hiroki Taoka
  • Patent number: 10727059
    Abstract: Implementations described herein generally relate to the fabrication of integrated circuits. More particularly, the implementations described herein provide techniques for deposition of amorphous carbon films on a substrate. In one implementation, a method of forming an amorphous carbon film is provided. The method comprises depositing an amorphous carbon film on an underlayer positioned on a susceptor in a first processing region. The method further comprises implanting a dopant or inert species into the amorphous carbon film in a second processing region. The dopant or inert species is selected from carbon, boron, nitrogen, silicon, phosphorous, argon, helium, neon, krypton, xenon or combinations thereof. The method further comprises patterning the doped amorphous carbon film. The method further comprises etching the underlayer.
    Type: Grant
    Filed: November 13, 2018
    Date of Patent: July 28, 2020
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Sarah Bobek, Prashant Kumar Kulshreshtha, Rajesh Prasad, Kwangduk Douglas Lee, Harry Whitesell, Hidetaka Oshio, Dong Hyung Lee, Deven Matthew Raj Mittal
  • Patent number: 10446744
    Abstract: Semiconductor substrate adaptor configured to adapt a substrate of a first dimension to a second dimension, such that the substrate can be properly supported by a supporting mechanism (e.g., a wafer cassette) customized for substrates of the second dimension. The substrate adaptor may be made of quartz. The combination of the substrate adaptor and a substrate fitting therein causes no perturbation in various aspects of a semiconductor process. Therefore, the substrate adaptor conveniently enables a substrate of the first dimension to be processed in the same processing equipment and conditions as a substrate of the second dimension. A vertical substrate adaptor may have a semicircular body with a semicircular cutout for accommodating a wafer and can support a wafer vertically. A horizontal substrate adaptor may have a circular body with a circular cutout for accommodating an entire wafer and supporting the wafer horizontally.
    Type: Grant
    Filed: March 8, 2018
    Date of Patent: October 15, 2019
    Assignee: Spin Memory, Inc.
    Inventors: Jorge Vasquez, Danny Yam
  • Patent number: 10096501
    Abstract: A maintenance method of a substrate processing apparatus includes a first processing step of carrying a first substrate holder holding a substrate into a process chamber and processing the substrate held by the first substrate holder within the process chamber, a second processing step of carrying a second substrate holder holding a substrate into the process chamber and processing the substrate held by the second substrate holder within the process chamber, a determination step of determining a replacement timing of the first substrate holder and the second substrate holder, and a maintenance step of, at the replacement timing determined at the determination step, replacing the first substrate holder and the second substrate holder respectively with a third substrate holder and a fourth substrate holder, if at least one of the first substrate holder and the second substrate holder reaches the replacement timing.
    Type: Grant
    Filed: August 27, 2014
    Date of Patent: October 9, 2018
    Assignee: HITACHI KOKUSAI ELECTRIC INC.
    Inventor: Kaori Inoshima
  • Patent number: 9932668
    Abstract: A circular PVD chamber has a plurality of sputtering targets mounted on a top wall of the chamber. A pallet in the chamber is coupled to a motor for rotating the pallet about its center axis. The pallet has a diameter less than the diameter of the circular chamber. The pallet is also shiftable in an XY direction to move the center of the pallet beneath any of the targets so all areas of a workpiece supported by the pallet can be positioned directly below any one of the targets. A scanning magnet is in back of each target and is moved, via a programmed controller, to only be above portions of the workpiece so that no sputtered material is wasted. For depositing a material onto small workpieces, a cooling backside gas volume is created between the pallet and the underside of sticky tape supporting the workpieces.
    Type: Grant
    Filed: October 26, 2015
    Date of Patent: April 3, 2018
    Assignee: Tango Systems Inc.
    Inventors: Ravi Mullapudi, Harish Varma Penmethsa, Harshal T. Vasa, Srikanth Dasaradhi, Lee LeBlanc
  • Patent number: 9728436
    Abstract: An integrated robotic mechanism is disclosed for improving transport equipment, integrating an object movement with other functionalities such as alignment or identification. The disclosed integrated robot assembly can comprise a multiple end effector for moving a plurality of workpieces, a single end effector for moving a single workpiece, a rotation chuck incorporated on the robot body to provide alignment capability, and an optional identification subsystem for identify the object during transport. The present invention robot assembly can be used in a sorter or stocker equipment, in processing equipment, and a transfer system.
    Type: Grant
    Filed: October 1, 2012
    Date of Patent: August 8, 2017
    Assignee: Brooks Automation, GmbH
    Inventors: Farzad Tabrizi, David Barker
  • Patent number: 9318363
    Abstract: In STEP 1, a mapping operation is carried out by a mapping device. In STEP 2, based on position information for the wafer (W) detected by the mapping operation, it is determined whether or not a wafer (W) position is in an abnormal state or not. When the wafer position is determined to be in the abnormal state (Yes), a closing/opening operation, in which a FOUP door (19c) is temporarily closed and then opened, is carried out in STEP 3. In STEP 4, the number of times the FOUP door (19c) is closed/opened (in other words, the number of times a port door (62) is closed/opened) is counted, and in STEP 5, it is determined whether or not this count value is less than a preset value. If the count value is less than the preset value (Yes), the processing in STEP 1-STEP 5 is repeated once again.
    Type: Grant
    Filed: January 8, 2013
    Date of Patent: April 19, 2016
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Kozo Kai, Takamasa Chikuma, Keiji Osada, Chunmui Li
  • Patent number: 9209017
    Abstract: Disclosed herein is an ultra-low dielectric (k) film and methods of making thereof. A ultra-low k film has a covalently bonded network comprising atoms of silicon, oxygen, carbon, and hydrogen, a cyclotrisilane structure, and a plurality of pores having a pore size distribution (PSD) of less than about 1.1 nanometers (nm). The ultra-low k film has a k value of less than about 2.4 and at least about 28 atomic percent of carbon.
    Type: Grant
    Filed: March 26, 2014
    Date of Patent: December 8, 2015
    Assignee: International Business Machines Corporation
    Inventors: Donald F. Canaperi, Son V. Nguyen, Deepika Priyadarshini, Hosadurga K. Shobha
  • Patent number: 9177806
    Abstract: Oxide growth of a gate dielectric layer that occurs between processes used in the fabrication of a gate dielectric structure can be reduced. The reduction in oxide growth can be achieved by maintaining the gate dielectric layer in an ambient effective to mitigate oxide growth of the gate dielectric layer between at least two sequential process steps used in the fabrication the gate dielectric structure. Maintaining the gate dielectric layer in an ambient effective to mitigate oxide growth also improves the uniformity of nitrogen implanted in the gate dielectric.
    Type: Grant
    Filed: January 31, 2011
    Date of Patent: November 3, 2015
    Assignee: TEXAS INSTRUMENTS INCORPORATED
    Inventors: Malcolm J. Bevan, Haowen Bu, Hiroaki Niimi, Husam N. Alshareef
  • Patent number: 9169562
    Abstract: Described is a parallel batch CVD system that includes a pair of linear deposition chambers in a parallel arrangement and a robotic loading module disposed between the chambers. Each chamber includes a linear arrangement of substrate receptacles, gas injectors to supply at least one gas in a uniform distribution across the substrates, and a heating module for uniformly controlling a temperature of the substrates. The robotic loading module is configured for movement in a direction parallel to a length of each of the chambers and includes at least one cassette for carrying substrates to be loaded into the substrate receptacles of the chambers. The parallel batch CVD system is suitable for high volume processing of substrates. The CVD processes performed in the chambers can be the same process. Alternatively, the CVD processes may be different and substrates processed in one chamber may be subsequently processed in the other chamber.
    Type: Grant
    Filed: May 11, 2012
    Date of Patent: October 27, 2015
    Assignee: Singulus MOCVD GMBH I. GR.
    Inventors: Piero Sferlazzo, Darren M. Simonelli
  • Patent number: 9053925
    Abstract: A device for cleaning a bevel edge of a semiconductor substrate. The device includes: a lower support having a cylindrical top portion; a lower plasma-exclusion-zone (PEZ) ring surrounding the outer edge of the top portion and adapted to support the substrate; an upper dielectric component opposing the lower support and having a cylindrical bottom portion; an upper PEZ ring surrounding the outer edge of the bottom portion and opposing the lower PEZ ring; and at least one radiofrequency (RF) power source operative to energize process gas into plasma in an annular space defined by the upper and lower PEZ rings, wherein the annular space encloses the bevel edge.
    Type: Grant
    Filed: April 6, 2011
    Date of Patent: June 9, 2015
    Assignee: Lam Research Corporation
    Inventors: Andrew D. Bailey, III, Alan M. Schoepp, Gregory Sexton, Yunsang Kim, William S. Kennedy
  • Patent number: 9011634
    Abstract: Provided are a plasma processing apparatus and a plasma processing method, by which plasma damage is reduced during processing. At the time of performing desired plasma processing to a substrate (5), a process chamber (2) is supplied with an inert gas for carrying in and out the substrate (5), pressure fluctuation in the process chamber (2) is adjusted to be within a prescribed range, and plasma (20) of the inert gas supplied in the process chamber (2) is generated. The density of the plasma (20) in the transfer area of the substrate (5) is reduced by controlling plasma power to be in a prescribed range, and the substrate (5) is carried in and out to and from a supporting table (4).
    Type: Grant
    Filed: October 4, 2012
    Date of Patent: April 21, 2015
    Assignee: Mitsubishi Heavy Industries, Ltd.
    Inventors: Ryuichi Matsuda, Masahiko Inoue, Kazuto Yoshida, Tadashi Shimazu
  • Publication number: 20150096685
    Abstract: A plasma processing apparatus includes processing units, each of which subjects a sample to processing inside a processing chamber in a vacuum vessel, vacuum transfer chambers which are coupled to the processing units and each have an interior where a sample is transferred under reduced pressure, an intermediate chamber which has, in an interior, a space where a transferred sample is housed, a buffer chamber which is capable of housing a sample arranged in an interior of the vessel, a mounting table which is arranged in the buffer chamber and is adjusted to a prescribed temperature and on which a sample is placed, an opening through which a sample is taken in or out, and a lid member which opens or hermetically closes the opening, and a sample is transferred between the processing unit and a lock chamber via the buffer chamber.
    Type: Application
    Filed: February 19, 2014
    Publication date: April 9, 2015
    Inventors: Kohei Sato, Akitaka Makino, Hiromichi Kawasaki
  • Publication number: 20150076371
    Abstract: The present disclosure relates to a lithographic tool arrangement for semiconductor workpiece processing. The lithographic tool arrangement groups lithographic tools into clusters, and selectively transfers a semiconductor workpiece between a plurality of lithographic tools of a first type in a first cluster to a plurality of lithographic tools of a second type in a second cluster. The selective transfer is achieved though a transfer assembly, which is coupled to a defect scan tool that identifies defects generated in the lithographic tool of the first type. The disclosed lithographic tool arrangement also utilizes shared structural elements such as a housing assembly, and shared functional elements such as gases and chemicals. The lithographic tool arrangement may consist of baking, coating, exposure, and development units configured to provide a modularization of these various components in order to optimize throughput and efficiency for a given lithographic fabrication process.
    Type: Application
    Filed: November 24, 2014
    Publication date: March 19, 2015
    Inventors: I-Hsiung Huang, Heng-Hsin Liu, Heng-Jen Lee, Chin-Hsiang Lin
  • Patent number: 8974601
    Abstract: Provided is an apparatus, system and method for treating a substrate, and more particularly, a substrate treating apparatus having a cluster structure, a substrate treating system, and a substrate treating method using the substrate treating system. The substrate treating apparatus includes a load port on which a container containing a substrate is installed, a plurality of process modules treating the substrate, a transfer module disposed between the load port and the process modules, and transferring the substrate between the container and the process modules, and a buffer chamber disposed between neighboring ones of the process modules, and providing a space for carrying the substrate between the neighboring process modules.
    Type: Grant
    Filed: July 27, 2012
    Date of Patent: March 10, 2015
    Assignee: Semes Co., Ltd.
    Inventor: Hyung Joon Kim
  • Publication number: 20150031189
    Abstract: Embodiments of mechanisms for cleaning a surface of a semiconductor wafer for a hybrid bonding are provided. The method for cleaning a surface of a semiconductor wafer for a hybrid bonding includes providing a semiconductor wafer, and the semiconductor wafer has a conductive pad embedded in an insulating layer. The method also includes performing a plasma process to a surface of the semiconductor wafer, and metal oxide is formed on a surface of the conductive structure. The method further includes performing a cleaning process using a cleaning solution to perform a reduction reaction with the metal oxide, such that metal-hydrogen bonds are formed on the surface of the conductive structure. The method further includes transferring the semiconductor wafer to a bonding chamber under vacuum for hybrid bonding. Embodiments of mechanisms for a hybrid bonding and a integrated system are also provided.
    Type: Application
    Filed: July 24, 2013
    Publication date: January 29, 2015
    Applicant: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Sheng-Chau Chen, Chih-Hui Huang, Yeur-Luen Tu, Cheng-Ta Wu, Chia-Shiung Tsai, Xiao-Meng Chen
  • Publication number: 20150013910
    Abstract: A transfer apparatus for transporting substrates in a transfer chamber having a first and second ends and two sides extending between the ends. The transfer apparatus includes a drive section, at least one base arm fixed at one end with respect to the transfer chamber and including at least one arm link rotatably coupled to the drive section and at least one transfer arm rotatably coupled to a common end of the base arm, the at least one transfer arm has two end effectors. The drive section has motors with three independent axes of rotation defining three degrees of freedom. One degree of freedom moves the at least one base arm horizontally for transporting the at least one transfer arm and two degrees of freedom drives the at least one transfer arm to extend and retract the at least one transfer arm and swap the two end effectors.
    Type: Application
    Filed: February 11, 2013
    Publication date: January 15, 2015
    Inventors: Alexander Krupyshev, Ulysses Gilchrist, Robert T. Caveney, Daniel Babbs
  • Patent number: 8932405
    Abstract: A reactor arrangement for layer deposition on a plurality of substrates (hereafter substrates) comprising a first reactor chamber for simultaneous cleaning the substrates, at least one second reactor chamber for depositing at least one layer on each of the substrates, a first heating device for setting the substrate temperature of the substrates in the first reactor chamber, a second heating device for setting the substrate temperature of the substrates in the second reactor chamber, a device for producing a gas atmosphere of predetermined composition and predetermined pressure, a transport device for transporting the substrates simultaneously from the first to the second reactor chamber, and a control device for controlling the heating devices and device for producing the gas atmosphere in such a way that the substrates are moved or stored in an interruption-free manner in a reducing gas atmosphere as long as the substrate temperature is above critical temperature Tc.
    Type: Grant
    Filed: May 10, 2005
    Date of Patent: January 13, 2015
    Assignee: IHP GmbH—Innovations for High Performance Microelectronics/Institut fur Innovative Mikroelektronik
    Inventors: Thomas Grabolla, George Ritter, Bernd Tillack
  • Publication number: 20150011073
    Abstract: In embodiments, a hybrid wafer or substrate dicing process involving an initial laser scribe and subsequent plasma etch is implemented for die singulation. The laser scribe process may be used to cleanly remove a mask layer, organic and inorganic dielectric layers, and device layers. The laser etch process may then be terminated upon exposure of, or partial etch of, the wafer or substrate. In embodiments, a hybrid plasma etching approach is employed to dice the wafers where an isotropic etch is employed to improve the die sidewall following an anisotropic etch with a plasma based on a combination of NF3 and CF4. The isotropic etch removes anisotropic etch byproducts, roughness, and/or scalloping from the anisotropically etched die sidewalls after die singulation.
    Type: Application
    Filed: June 2, 2014
    Publication date: January 8, 2015
    Inventors: Wei-Sheng Lei, Tong Liu, Madhava Rao Yalamanchili, Brad Eaton, Aparna Iyer, Ajay Kumar
  • Patent number: 8927435
    Abstract: A load lock includes a chamber including an upper portion, a lower portion, and a partition between the upper portion and the lower portion, the partition including an opening therethrough. The load lock further includes a first port in communication with the upper portion of the chamber and a second port in communication with the lower portion of the chamber. The load lock includes a rack disposed within the chamber and a workpiece holder mounted on a first surface of the rack, wherein the rack and the workpiece holder are movable by an indexer that is capable of selectively moving wafer slots of the rack into communication with the second port. The indexer can also move the rack into an uppermost position, at which the first surface of the boat and the partition sealingly separate the upper portion and the lower portion to define an upper chamber and a lower chamber. Auxiliary processing, such as wafer pre-cleaning, or metrology can be conducted in the upper portion.
    Type: Grant
    Filed: May 8, 2013
    Date of Patent: January 6, 2015
    Assignee: ASM America, Inc.
    Inventors: Ravinder K. Aggarwal, Jeroen Stoutjesdijk, Eric R. Hill, Loring G. Davis, John T. DiSanto
  • Patent number: 8911554
    Abstract: A method and apparatus for processing multiple substrates simultaneously is provided. Each substrate may have two major active surfaces to be processed. The apparatus has a substrate handling module and a substrate processing module. The substrate handling module has a loader assembly, a flipper assembly, and a factory interface. Substrates are disposed on a substrate carrier at the loader assembly. The flipper assembly is used to flip all the substrates on a substrate carrier in the event two-sided processing is required. The factory interface positions substrate carriers holding substrates for entry into and exit from the substrate processing module. The substrate processing module comprises a load-lock, a transfer chamber, and a plurality of processing chambers, each configured to process multiple substrates disposed on a substrate carrier.
    Type: Grant
    Filed: January 4, 2011
    Date of Patent: December 16, 2014
    Assignee: Applied Materials, Inc.
    Inventors: Steven Verhaverbeke, Jose Antonio Marin
  • Patent number: 8900363
    Abstract: An inline vacuum processing apparatus includes a deposition unit, a process execution unit, a determination unit, and a control unit. The deposition unit causes one deposition chamber of a first deposition chamber and a second deposition chamber to execute a deposition process. The process execution unit causes the other deposition chamber to execute a process necessary for the deposition process. The determination unit measures the number of substrates processed in one deposition chamber and determines whether all substrates included in a first lot have undergone the deposition process. The control unit switches, based on a determination result from the determination unit, a process to be executed in each of the first deposition chamber and the second deposition chamber.
    Type: Grant
    Filed: December 22, 2009
    Date of Patent: December 2, 2014
    Assignee: Canon Anelva Corporation
    Inventor: Masahiro Kawata
  • Patent number: 8894768
    Abstract: A substrate processing apparatus that simultaneously forms thin films on a plurality of substrates and performs heat treatment includes: a plurality of substrate holders, each including a substrate support that supports a substrate and a first gas pipe having one or a plurality of injection holes; a boat where the plurality of substrate holders are stacked and including a second gas pipe connected with the first gas pipe of each of the substrate holders; a process chamber providing a space in which the substrates stacked in the boat are processed; a conveying unit that carries the boat into/out of the process chamber; a first heating unit disposed outside the process chamber; and a gas supply unit including a third gas pipe connected with the second gas pipe and supplying a heated or cooled gas into the second gas pipe.
    Type: Grant
    Filed: January 6, 2011
    Date of Patent: November 25, 2014
    Assignee: Samsung Display Co., Ltd.
    Inventors: Byoung-Keon Park, Ki-Yong Lee, Jin-Wook Seo, Min-Jae Jeong, Jong-Won Hong, Heung-Yeol Na, Tae-Hoon Yang, Yun-Mo Chung, Eu-Gene Kang, Seok-Rak Chang, Dong-Hyun Lee, Kil-Won Lee, Jong-Ryuk Park, Bo-Kyung Choi, Won-Bong Baek, Ivan Maidanchuk, Byung-Soo So, Jae-Wan Jung
  • Patent number: 8888920
    Abstract: The present invention is an imprint system including an imprint unit transferring a transfer pattern to a coating film formed on a substrate using a template having the transfer pattern formed on a front surface thereof to form a predetermined pattern in the coating film, the imprint system including: a substrate carry-in/out station connected to the imprint unit, capable of keeping a plurality of the substrates, and carrying the substrate into/out of the imprint unit side; and a template carry-in/out station connected to the imprint unit, capable of keeping a plurality of the templates, and carrying the template into/out of the imprint unit side at a predetermined timing.
    Type: Grant
    Filed: June 11, 2010
    Date of Patent: November 18, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Shoichi Terada, Yoshio Kimura, Takahiro Kitano
  • Patent number: 8858716
    Abstract: In a vacuum processing apparatus, a substrate chuck mechanism member is attached to a substrate holder provided in a vacuum processing chamber, includes a shaft member, first and second coil springs that are provided at the two ends, respectively, of the shaft member, and a substrate chuck plate provided at the end of the shaft member, and is additionally attached to the substrate holder using the substrate chuck plate by elastic biasing of the first coil spring. The holding state of the substrate on the substrate holder is changed by the expansion/contraction actions of the first and second coil springs in accordance with the reciprocal movement of the substrate holder.
    Type: Grant
    Filed: December 21, 2010
    Date of Patent: October 14, 2014
    Assignee: Canon Anelva Corporation
    Inventors: Fumiaki Hoshino, Hajime Hiraiwa, Katsuhiko Miura
  • Patent number: 8845854
    Abstract: Front side laser scribing and plasma etch are performed followed by back side grind to singulate integrated circuit chips (ICs). A mask is formed covering ICs formed on the wafer, as well as any bumps providing an interface to the ICs. The mask is patterned by laser scribing to provide a patterned mask with gaps. The patterning exposes regions of the semiconductor wafer, below thin film layers from which the ICs are formed. The semiconductor wafer is then etched through the gaps in the patterned mask to advance a front of an etched trench partially through the semiconductor wafer thickness. The front side mask is removed, a backside grind tape applied to the front side, and a back side grind performed to reach the etched trench, thereby singulating the ICs.
    Type: Grant
    Filed: July 10, 2013
    Date of Patent: September 30, 2014
    Assignee: Applied Materials, Inc.
    Inventors: Wei-Sheng Lei, Brad Eaton, Madhava Rao Yalamanchili, Saravjeet Singh, Ajay Kumar
  • Patent number: 8840728
    Abstract: The present invention is a template treatment apparatus forming a film of a release agent on a template having a transfer pattern formed on a front surface thereof, the template treatment apparatus including: a treatment station forming a film of a release agent on the front surface of the template; and a template carry-in/out station capable of keeping a plurality of the templates, and carrying the template into/out of the treatment station, wherein the treatment station includes: a cleaning unit cleaning the front surface of the template; a coating unit applying a release agent to the cleaned front surface of the template; a heating unit baking the applied release agent; and a carry unit carrying the template to the cleaning unit, the coating unit, and the heating unit.
    Type: Grant
    Filed: June 21, 2010
    Date of Patent: September 23, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Shoichi Terada, Yoshio Kimura, Takahiro Kitano
  • Patent number: 8840752
    Abstract: Disclosed are a flow path switching apparatus and a fluid processing apparatus having a liquid processing unit that performs a processing by supplying different kinds of processing fluid to wafer W at different timings. The atmosphere of the liquid processing unit is discharged fluid to a plurality of exclusive exhaust paths through exhaust paths and flow path switching units. A flow path switching unit includes an outer tube having a plurality of connection holes and a rotary tube inserted into the outer tube having a plurality of openings. In particular, one of the plurality of openings of the rotary tube is aligned with one of the plurality of connection holes of the outer tube in such a way that only an aligned set of an opening of the rotary tube and a connection hole of the outer tube is sequentially communicated during the rotation of the rotary tube.
    Type: Grant
    Filed: June 15, 2011
    Date of Patent: September 23, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Nobuhiro Ogata, Shuichi Nagamine, Kenji Kiyota
  • Patent number: 8821099
    Abstract: A substrate loading device having a frame, a cassette support, and a user interface. The frame is connected to a substrate processing apparatus. The frame has a transport opening through which substrates are transported between the device and processing apparatus. The cassette support is connected to the frame for holding at least one substrate holding cassette. The user interface is arranged for inputting information, and is mounted to the frame so that the user interface is integral with the frame.
    Type: Grant
    Filed: July 11, 2005
    Date of Patent: September 2, 2014
    Assignee: Brooks Automation, Inc.
    Inventors: Daniel A. Hall, Glenn L. Sindledecker, Matthew W. Coady, Marcello Trolio, Michael Spinazola
  • Patent number: 8807914
    Abstract: The present invention provides a seal device comprising a sealing passage which allows communication between a first space and a second space, and evacuation lines individually connected to the first space and the sealing passage. A gas feed line for feeding dry gas is connected to the sealing passage.
    Type: Grant
    Filed: October 7, 2008
    Date of Patent: August 19, 2014
    Assignee: Ebara Corporation
    Inventor: Hiroyuki Shinozaki
  • Patent number: 8801895
    Abstract: A semiconductor manufacturing equipment includes a first chamber that has a first connection hole, a second chamber that has a second connection hole connected to the first connection hole of the first chamber, an O-ring that is provided between the first chamber and the second chamber so as to surround the first connection hole and the second connection hole, and a cover portion that covers a space between the first chamber and the second chamber.
    Type: Grant
    Filed: February 5, 2008
    Date of Patent: August 12, 2014
    Assignee: Spansion, LLC
    Inventor: Hirotaka Inomata
  • Patent number: 8802488
    Abstract: A substrate depositing system and a method of using a substrate depositing system. A substrate depositing system includes a load-lock chamber for loading and unloading a substrate, at least one transfer chamber connected to the load-lock chamber and including a substrate transfer device configured to vertically transfer the substrate, and a pair of depositing chambers connected to opposite sides of the at least one transfer chamber and including a depositing source and a pair of substrate fixing devices, the substrate transfer device including a pair of substrate installing members.
    Type: Grant
    Filed: July 8, 2011
    Date of Patent: August 12, 2014
    Assignee: Samsung Display Co., Ltd.
    Inventors: Jeong-Ho Yi, Suk-Won Jung, Seung-Ho Choi
  • Patent number: 8794896
    Abstract: A vacuum processing apparatus includes a vacuum processing chamber; a load lock chamber connected to the vacuum processing chamber via a gate valve or via a gate valve and a depressurized space and also connected to an atmospheric space via a door valve, an interior atmosphere of the load lock chamber being changed between a substantially atmospheric state and a depressurized state; an air blowing portion, provided at a vicinity of the door valve in the atmospheric space, for blowing a zonal airflow vertically downward from a position substantially even with or higher than a top end of a passageway of the door valve; and an air suctioning portion for suctioning the airflow or the inert gas from the air blowing portion by a vacuum force at a position substantially even with or lower than a bottom end of the passageway of the door valve.
    Type: Grant
    Filed: August 31, 2006
    Date of Patent: August 5, 2014
    Assignee: Tokyo Electron Limited
    Inventor: Kengo Ashizawa
  • Publication number: 20140174659
    Abstract: Methods of dicing substrates having a plurality of ICs are disclosed. A method includes forming a mask comprising a water soluble material layer over the semiconductor substrate. The mask is patterned with a femtosecond laser scribing process to provide a patterned mask with gaps. The patterning exposes regions of the substrate between the ICs. The substrate is then etched through the gaps in the patterned mask to singulate the IC and the water soluble material layer is washed off.
    Type: Application
    Filed: February 25, 2014
    Publication date: June 26, 2014
    Inventors: Wei-Sheng Lei, Saravjeet Singh, Madhava Rao Yalamanchili, Brad Eaton, Ajay Kumar
  • Patent number: 8758516
    Abstract: Disclosed herein is a flat panel display (FPD) manufacturing apparatus for performing a desired process for a substrate positioned in a chamber after establishing a vacuum atmosphere in the chamber. The vacuum chamber is divided into a chamber body and an upper cover to ensure easy opening/closing operations of the upper cover.
    Type: Grant
    Filed: November 26, 2008
    Date of Patent: June 24, 2014
    Assignee: Advanced Display Process Engineering Co., Ltd.
    Inventors: Young Jong Lee, Jun Young Choi, Saeng Hyun Jo, Hyun Hwan Ahn, Suk-Min Son, Sung Il Ahn
  • Patent number: 8741096
    Abstract: An apparatus for semiconductor processing capable of performing semiconductor processing such as etching, depositing, etc. on a surface of a substrate such as a wafer. The apparatus for semiconductor processing, comprises: a reaction chamber having a gate through which a substrate to be processed is transferred; one or more shower heads disposed at an upper side of the reaction chamber, for spraying gas so as to perform semiconductor processing; one or more wafer supporting units disposed at an inner lower side of the reaction chamber in correspondence to each of the shower heads, for supporting the substrate; a processing space forming unit disposed in the reaction chamber, for forming a processing space for semiconductor processing by sealing the shower heads and the wafer supporting units; and an exhausting system connected to the processing space forming unit for controlling a pressure and air exhaustion inside the reaction chamber and the processing space formed by the processing space forming unit.
    Type: Grant
    Filed: June 28, 2007
    Date of Patent: June 3, 2014
    Assignee: Wonik IPS Co., Ltd.
    Inventors: Sang-Jun Park, Ho-Young Lee, Chun-Woo Lee
  • Patent number: 8685203
    Abstract: Provided is a dry etcher including an etching device and a cleaning device, more particularly, a dry etcher including an etching device and a cleaning device to which a cleaning process is added so as to be used in a metal layer etching process. The dry etcher includes an etching device into which etching gas is injected to etch a layer formed on a substrate, a substrate transfer device transferring the substrate processed by the etching device, and a cleaning device cleaning the substrate transferred by the substrate transfer device.
    Type: Grant
    Filed: May 30, 2007
    Date of Patent: April 1, 2014
    Assignee: Semens Co., Ltd.
    Inventor: Jae-Hyun Choi
  • Publication number: 20140072397
    Abstract: Semiconductor processing equipment. At least some of the illustrative embodiments are systems including: a front end robot configured to pull individual wafers from at least one wafer carrier; a linear robot in operational relationship to the front end robot, the linear robot configured to move wafers along an extended length path; and a first processing cluster in operational relationship to the linear robot. The first processing cluster may include: a first processing chamber; a second processing chamber; and a first cluster robot disposed between the first and second processing chambers. The first cluster robot is configured to transfer wafers from the linear robot to the processing chambers, and configured to transfer wafers from the processing chambers to the linear robot.
    Type: Application
    Filed: September 12, 2012
    Publication date: March 13, 2014
    Applicant: LAM RESEARCH CORPORATION
    Inventor: Benjamin W. MOORING
  • Patent number: 8663489
    Abstract: A method for replacing plural substrates to be processed by a substrate processing apparatus which includes a substrate processing chamber, a load lock chamber, and a conveying apparatus including first and second conveying members for conveying the plural substrates into and out from the substrate processing chamber and the load lock chamber. The method includes the steps of a) conveying a first substrate out from the substrate processing chamber with the first conveying member, b) conveying a second substrate into the substrate processing chamber with the second conveying member, c) conveying the second substrate out from the load lock chamber with the second conveying member, and d) conveying the first substrate into the load lock chamber with the first conveying member. The steps c) and d) are performed between step a) and step b).
    Type: Grant
    Filed: March 26, 2010
    Date of Patent: March 4, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Shigeru Ishizawa, Hiroshi Koizumi, Tatsuya Ogi
  • Patent number: 8641824
    Abstract: A fab can be constructed as a round or rectangular annular tube with a primary cleanspace located in-between its inner and outer tubes. The fab can be encircled with levels upon which tools can be densely packed while preserving unidirectional air flow. If only tool ports are inside, and robotics are used, primary cleanspace size can be minimized. Highly simplified robotics can be used. Tools can be removed and repaired centrally. A secondary cleanspace can be added for tool bodies. Multilevel construction enhances use of prefabricated units for fab build or maintenance. Curves or folds, applied to a conventional planar cleanroom, can construct a wide range of fab geometries, including a tubular non-annular fab. A fab can also be constructed according to a curved or non-curved sectional cut of an annular tube. A novel fab, of a non-curved section, can include a nonsegmented cleanspace or have its tools vertically stacked.
    Type: Grant
    Filed: October 31, 2007
    Date of Patent: February 4, 2014
    Inventor: Frederick A. Flitsch