With Robot Arm Connected By Doors To Plural Other Chambers (i.e., Cluster Tool) Patents (Class 156/345.32)
  • Patent number: 7815558
    Abstract: Disclosed is a method for replacing a process instrument in a processing apparatus, in which a target object is loaded by a transfer mechanism into a processing unit and is subjected to a process by use of the process instrument. The method includes confirming that a process on the target object is finished in a processing unit designated as a process instrument replacement target, and providing information that a process instrument replacing operation is permitted to start. The method further includes, when a shutter of the processing unit designated as the process instrument replacement target is closed to perform a process instrument replacing operation and an operation prohibition state is thereby applied to the transfer mechanism, canceling the operation prohibition state to allow the transfer mechanism to perform a load/unload operation relative to a processing unit not designated as a process instrument replacement target.
    Type: Grant
    Filed: January 23, 2007
    Date of Patent: October 19, 2010
    Assignee: Tokyo Electron Limited
    Inventors: Naoyuki Tajiri, Akifumi Suzuki, Daisuke Honma
  • Patent number: 7815739
    Abstract: A semiconductor processing system includes a casing forming a handling area. The handling area includes a main-process area and a pre-process area divided from each other and connected through an openable port. The main-process area and the pre-process area are connected to their own lines for vacuum-exhausting gas therefrom and their own lines for supplying an inactive gas thereinto and adjust pressure independently. A transfer port unit is disposed on the casing to place a transfer container that stores target objects. The transfer port unit allows the transfer container to open to the main-process area while maintaining an airtightness of the main-process area. The system includes a vertical batch main-processing apparatus. The system also includes a vertical batch pre-processing apparatus connected to the pre-process area and that performs a pre-process on the target objects and transforms a semiconductor oxide film on the target objects into an intermediate film.
    Type: Grant
    Filed: February 16, 2006
    Date of Patent: October 19, 2010
    Assignee: Tokyo Electron Limited
    Inventor: Hiroyuki Matsuura
  • Publication number: 20100221915
    Abstract: A method and apparatus for semiconductor processing is disclosed. In one embodiment, a method of transporting a wafer within a cluster tool, comprises placing the wafer into a first segment of a vacuum enclosure, the vacuum enclosure being attached to a processing chamber and a factory interface. The wafer is transported to a second segment of the vacuum enclosure using a vertical transport mechanism, wherein the second segment is above or below the first segment.
    Type: Application
    Filed: May 7, 2010
    Publication date: September 2, 2010
    Inventors: J.B. Price, Jed Keller, Laurence Dulmage, David Cheng
  • Patent number: 7763115
    Abstract: A vacuum film-forming apparatus comprising substrate stages; vacuum chamber-forming containers opposed to the stages; a means for moving the substrate between the stages; and gas-introduction means connected to every containers, wherein one of the stage and the container is ascended or descended towards the other to bring the upper face of the stage and the opening of the container into contact with one another so that vacuum chambers can be formed and that a raw gas and/or a reactant gas can be introduced into each space of the chamber through each gas-introduction means to carry out either the adsorption or reaction step for allowing the raw gas to react with the reactant gas. The apparatus permits the independent establishment of process conditions for the adsorption and reaction processes and the better acceleration of the reaction between raw and reactant gases to give a film having excellent quality and the apparatus can be manufactured at a low cost.
    Type: Grant
    Filed: May 20, 2005
    Date of Patent: July 27, 2010
    Assignee: ULVAC, Inc.
    Inventors: Masanobu Hatanaka, Michio Ishikawa, Se-Ju Lim, Fumio Nakamura
  • Patent number: 7762208
    Abstract: A device for loading at least one substrate into a process chamber of a coating unit and unloading the at least one substrate therefrom by means of a gripper of a handling machine. The device includes a loading plate which can be gripped by the gripper and embodies a storage place for each at least one substrate, the storage place being formed by an edge of an opening that is assigned to each substrate. The device also includes a substrate holder that is provided with a pedestal-type substrate support which is adapted to the loading plate and on which the substrate plate can be placed such that some sectors of the surface of the substrate support are located at a certain gap distance from the substrate or the substrate lies in a planar manner on a sector of the surface.
    Type: Grant
    Filed: July 23, 2007
    Date of Patent: July 27, 2010
    Assignee: Aixtron AG
    Inventors: Holger Juergensen, Johannes Kaeppeler
  • Patent number: 7754014
    Abstract: A vacuum valve assembly for use in a vacuum processing chamber includes a seat defining an opening in the vacuum valve, with the seat having a sealing face adjacent the opening and normal to the direction of the opening; and a gate having a sealing face adapted to mate with the seat sealing face, the gate being movable toward and away from the seat sealing face to seal and open the vacuum valve opening. A continuous elastomeric seal extends around the vacuum valve opening between the gate sealing face and the seat sealing face of sufficient size such that when the gate is positioned to seal the vacuum valve opening, there exists a gap between the gate sealing face and the seat sealing face. A purge gas port system, disposed in the seat or in the gate, has an inlet for a purge gas, an essentially continuous outlet extending around the vacuum valve opening and adjacent the elastomeric seal and gap, and a manifold system connecting the inlet and the outlet.
    Type: Grant
    Filed: August 4, 2009
    Date of Patent: July 13, 2010
    Assignee: Novellus Systems, Inc.
    Inventors: Lawrence A Gochberg, Christopher W Burkhart
  • Patent number: 7756599
    Abstract: A computer readable storage medium storing a program for performing an operation method of a substrate processing apparatus is provided. The operation method includes the steps of introducing a nonreactive gas into the vacuum preparation chamber before the gate valve is opened while the substrate is transferred between the vacuum preparation chamber of the vacuum processing unit and the transfer unit, stopping introducing the nonreactive gas when an inner pressure of the vacuum preparation chamber becomes same as an atmospheric pressure, starting an evacuation process of the corrosive gas in the vacuum preparation chamber and then opening to atmosphere performed by letting the vacuum preparation chamber communicate with an atmosphere, and opening the gate valve after the step of opening to atmosphere.
    Type: Grant
    Filed: October 21, 2005
    Date of Patent: July 13, 2010
    Assignee: Tokyo Electron Limited
    Inventors: Tomoyuki Kudo, Jun Ozawa, Hiroshi Nakamura, Kazunori Kazama, Tsuyoshi Moriya, Hiroyuki Nakayama, Hiroshi Nagaike
  • Publication number: 20100173431
    Abstract: Provided is a wafer reclamation method for reclaiming a semiconductor wafer, on which a different material layer is formed, by removing the different material layer. The wafer reclamation method includes a physically removing step of physically removing the different material layer, a film forming step of forming a film on a surface of the semiconductor wafer from which the different material layer has been removed in the physically removing step, and a dry etching step of etching the semiconductor wafer by plasma together with the film formed in the film forming step.
    Type: Application
    Filed: August 25, 2008
    Publication date: July 8, 2010
    Applicant: PANASONIC CORPORATION
    Inventors: Shogo Okita, Gaku Sugahara, Hiroyuki Suzuki, Ryuzou Houchin, Mitsuru Hiroshima
  • Publication number: 20100147396
    Abstract: A multiple-substrate processing apparatus includes: a reaction chamber comprised of two discrete reaction stations aligned one behind the other for simultaneously processing two substrates; a transfer chamber disposed underneath the reaction chamber, for loading and unloading substrates to and from the reaction stations simultaneously; and a load lock chamber disposed next to the transfer chamber. The transfer arm includes one or more end-effectors for simultaneously supporting two substrates one behind the other as viewed in the substrate-loading/unloading direction.
    Type: Application
    Filed: December 15, 2008
    Publication date: June 17, 2010
    Applicant: ASM JAPAN K.K.
    Inventors: Takayuki Yamagishi, Tamihiro Kobayashi
  • Patent number: 7738987
    Abstract: Depending on the degree of microfabrication requested for each wafer lot, transfer of wafers is controlled. A substrate processing apparatus includes a plurality of PMs 400 and an LLM 500 and is controlled by an EC 200. The EC 200 includes a selection unit 255 and a transfer control unit 260. The unit 255 selects the PM to which the next wafer is to be transferred, and selects, for each lot, whether the wafers are transferred to the same PM in one-lot units or in one-substrate units depending on the degree of the microfabrication requested for each lot. When the wafer transfer in lot units is selected, the unit 260 sequentially transfers the wafers included in the lot to the selected PM. Otherwise the unit 260 sequentially OR transfers the wafers included in the lot from the selected PM to a different PM one by one.
    Type: Grant
    Filed: November 27, 2007
    Date of Patent: June 15, 2010
    Assignee: Tokyo Electron Limited
    Inventor: Masahiro Numakura
  • Patent number: 7707965
    Abstract: A processing apparatus includes an openable/closable lid disposed on a process container, and an opening/closing mechanism configured to open/close the lid. The opening/closing mechanism includes a hinge structure swingably coupling the lid to one end of the process container, and a drive structure configured to swing the lid. The hinge structure includes a main shaft used as a rotation axis when the lid is swung by the drive structure, and an adjusting shaft located on a distal end side relative to the main shaft, for adjusting an angle of the lid.
    Type: Grant
    Filed: March 12, 2007
    Date of Patent: May 4, 2010
    Assignee: Tokyo Electron Limited
    Inventor: Jun Yamashita
  • Patent number: 7682455
    Abstract: To make possible a tightly packed, essentially horizontal storage of wafers (40), in which a simplified access to each of these wafers (40) is possible, a device is provided having a plurality of superimposed storage elements (10). The storage elements (10) have device features (16) for depositing the wafers (40). The storage elements (10) have projections (14) for lifting, whereby a specific storage element (10a) as well as all storage elements (10) arranged above this specific storage element (10a) can be lifted by a predetermined first height for producing a contact gap. The projections (14) can also be used to lift the storage element (10b) arranged below the said storage element (10a) by a predetermined second height for producing a freedom of access.
    Type: Grant
    Filed: July 8, 2004
    Date of Patent: March 23, 2010
    Assignee: Tec-Sem AG
    Inventors: Jakob Blattner, Rudy Federici
  • Patent number: 7682454
    Abstract: A seal-protected perimeter partition valve apparatus (450) defines a vacuum and pressure sealed space (401) within a larger space (540) confining a substrate processing chamber with optimized geometry, minimized footprint and 360° substrate accessibility. A compact perimeter partitioned assembly (520) with seal protected perimeter partition valve (450) and internally contained substrate placement member (480) further provides processing system modularity and substantially minimized system footprint.
    Type: Grant
    Filed: August 9, 2004
    Date of Patent: March 23, 2010
    Assignee: Sundew Technologies, LLC
    Inventor: Ofer Sneh
  • Patent number: 7670754
    Abstract: An exposure apparatus for executing an exposure of a substrate to light via a mask. The apparatus includes a booth which stores the mask in an atmospheric pressure, a processing chamber in which the exposure is executed in a first vacuum pressure, a vacuum chamber, arranged between the booth and the processing chamber, stores the mask at a second vacuum pressure that is higher than the first vacuum pressure and is between 0.1 Pa and 100 Pa, a first load lock chamber, arranged between the booth and the vacuum chamber, through which the mask is transferred, in which the atmospheric pressure and the second vacuum pressure are replaceable, and a second load lock chamber arranged between the vacuum chamber and the processing chamber, through which the mask is transferred, in which the second vacuum pressure and the first vacuum pressure are replaceable.
    Type: Grant
    Filed: December 2, 2004
    Date of Patent: March 2, 2010
    Assignee: Canon Kabushiki Kaisha
    Inventors: Ryo Edo, Masami Yonekawa, Shinichi Hara
  • Patent number: 7628824
    Abstract: An indexer robot includes two transport arms. The indexer robot simultaneously transports two unprocessed substrates from a cassette to a substrate transfer part in such a manner that each of the two transport arms holds a single unprocessed substrate. Also, the indexer robot simultaneously receives two processed substrates from the substrate transfer part and simultaneously transports the two processed substrate to a cassette in such a manner that each of the two transport arms holds a single processed substrate. The provision of three sending substrate rest parts and three returning substrate rest parts in the substrate transfer part enables the indexer robot to smoothly accomplish the simultaneous two-substrate transport, thereby reducing the time required for the transport of substrates in an entire substrate processing apparatus.
    Type: Grant
    Filed: March 12, 2008
    Date of Patent: December 8, 2009
    Assignee: Dainippon Screen Mfg. Co., Ltd.
    Inventor: Eisaku Machida
  • Patent number: 7622006
    Abstract: A main carrying device forming a part of a processing system, comprising a casing (40) forming a main carrying chamber (44) having vacuum atmosphere, the casing (40) further comprising a plurality of transfer ports (52A, 52B) for transferring the processed body (W) between the carrying chamber 44 and the outside, a mobile body (58) is slidably installed on a guide rail (48) horizontally installed in the carrying chamber (44), a linear motor mechanism (54, 62) for moving the mobile body (58) along the guide rail (48) is installed, a holding body (64) for holding the processed body (W) is liftably connected to the mobile body (58) through a support member (66), and a lifting mechanism (74) for lifting the support member (66) relative to the mobile body (58) is installed in the casing (40) at a position corresponding to the transfer ports (52A, 52B).
    Type: Grant
    Filed: December 25, 2002
    Date of Patent: November 24, 2009
    Assignee: Tokyo Electron Limited
    Inventors: Shigeru Ishizawa, Hiroaki Saeki
  • Patent number: 7622008
    Abstract: A gate valve (20) for a semiconductor processing system includes a housing (21) forming a plurality of passages (22A to 22D) arrayed in a first direction. The passages respectively have ports (23A to 23D) facing a first predetermined side in a second direction perpendicular to the first direction. The ports are respectively provided with valve seats (25A to 25D) at gradually set back positions in the second direction, as being closer to a second predetermined side in the first direction. Valve plates (24A to 24D) are arrayed in the second direction to open/close the ports. The valve plates are slid by an actuating mechanism (30A to 30D).
    Type: Grant
    Filed: February 27, 2004
    Date of Patent: November 24, 2009
    Assignee: Tokyo Electron Limited
    Inventor: Tsutomu Hiroki
  • Patent number: 7611322
    Abstract: There is described a wafer processing system for thinned wafers that are easily broken during handling. The system protects against breakage during handling and provides for temperature controls during processing.
    Type: Grant
    Filed: August 31, 2005
    Date of Patent: November 3, 2009
    Assignee: Intevac, Inc.
    Inventors: Terry Bluck, Stuart Scollay, Edric Tong
  • Patent number: 7611585
    Abstract: A plasma reaction chamber includes a chamber housing having two inner connection passages for connecting two vacuum chambers to other vacuum chambers. Two vacuum chambers and two inner connection passages form a continuous discharge path. At least one magnetic core is mounted in two vacuum chambers or two inner connection passages, and a coil connected to a power source is wounded around the magnetic core so as to transfer induced electromotive force to the continuous discharge path. The plasma reaction chamber is configured so that at least two vacuum chambers are integrated in a multiple arrangement, and common parts are shared in common, so that at least two substrates may be treated in parallel at the same time, thereby improving productivity per unit area and making it possible to construct a low-cost and high-efficient substrate treatment system.
    Type: Grant
    Filed: November 19, 2004
    Date of Patent: November 3, 2009
    Assignee: New Power Plasma Co., Ltd.
    Inventor: Dae-Kyu Choi
  • Publication number: 20090249896
    Abstract: Provided is a scanning arm which moves to collect pollutants on the surface of a semiconductor wafer, for use in a semiconductor wafer pollutant measurement apparatus, and a scanning device using the same the scanning arm includes: an X-axis portion; a Z-axis portion which is perpendicularly installed with the X-axis portion so as to move forward and backward along the X-axis portion; and a Y-axis portion which is perpendicularly installed with the Z-axis portion so as to move up and down with respect to the Z-axis portion. The scanning device includes: the scanning arm; and a scanning nozzle which is installed at the Y-axis portion, and inhales a scan solution from a reagent solution bottle to then discharge a reagent scan solution on the surface of a wafer which is located on a scan stage and simultaneously keep an inhalation condition and move along the surface of the wafer, to then inhale and keep the scan solution including pollutants sticked on the wafer surface.
    Type: Application
    Filed: September 8, 2008
    Publication date: October 8, 2009
    Applicant: KOREA TECHNO CO., LTD.
    Inventors: Ho Jin Kim, Hyoung Bae Kim
  • Patent number: 7592261
    Abstract: When the state of the vacuum processing chamber is switched to an idle state in which an insulating fluid is circulated while a semiconductor wafer W is not placed in the vacuum processing chamber and no plasma is generated in the vacuum processing chamber, nitrogen gas purging (N2 purging) of the inside of the vacuum processing chamber is started, and the pressure in the vacuum processing chamber is controlled to a predetermined level, for example, about 27 Pa (200 mTorr). This makes it possible to prevent a component in the vacuum processing chamber of a plasma processor from being charged to high voltage, so that an insulative material can be protected against breakdown caused by electric discharge or the like.
    Type: Grant
    Filed: March 27, 2003
    Date of Patent: September 22, 2009
    Assignee: Tokyo Electron Limited
    Inventors: Takehiro Ueda, Katsuyuki Koizumi, Kouki Suzuki
  • Patent number: 7585383
    Abstract: The present invention provides a vacuum processing apparatus which is small-sized and requires a small installation area. The vacuum processing apparatus includes a vacuum container which has a processing chamber inside thereof, wherein the pressure inside the processing chamber is reduced and plasma used for processing a sample is formed inside the processing chamber, a bed portion which is arranged below the vacuum container and stores a device for supplying electricity and electric signals used for processing inside the vacuum container, and a transport chamber which is connected with the vacuum container and includes a transport device for transporting the sample inside thereof.
    Type: Grant
    Filed: August 30, 2004
    Date of Patent: September 8, 2009
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Yuuzou Oohirabaru, Akira Ueda
  • Patent number: 7585141
    Abstract: A load lock system includes a first load lock defining a first chamber, a second load lock defining a second chamber, and a vacuum pumping system to vacuum pump the first and second chambers. The vacuum pumping system includes a high vacuum pump, a first valve to connect the first chamber to an inlet of the high vacuum pump in a first pumping mode, and a second valve to connect the second chamber to the inlet of the high vacuum pump in a second pumping mode. The high vacuum pump may be a turbomolecular pump. The vacuum pumping system may include a valve manifold block located between the first and second load locks. The first and second valves may be mounted in the valve manifold block. The vacuum pumping system may further include a shared water pump.
    Type: Grant
    Filed: February 1, 2005
    Date of Patent: September 8, 2009
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventors: Morgan Evans, Douglas E. May
  • Patent number: 7585370
    Abstract: A vacuum valve assembly for use in a vacuum processing chamber includes a seat defining an opening in the vacuum valve, with the seat having a sealing face adjacent the opening and normal to the direction of the opening; and a gate having a sealing face adapted to mate with the seat sealing face, the gate being movable toward and away from the seat sealing face to seal and open the vacuum valve opening. A continuous elastomeric seal extends around the vacuum valve opening between the gate sealing face and the seat sealing face of sufficient size such that when the gate is positioned to seal the vacuum valve opening, there exists a gap between the gate sealing face and the seat sealing face. A purge gas port system, disposed in the seat or in the gate, has an inlet for a purge gas, an essentially continuous outlet extending around the vacuum valve opening and adjacent the elastomeric seal and gap, and a manifold system connecting the inlet and the outlet.
    Type: Grant
    Filed: December 20, 2007
    Date of Patent: September 8, 2009
    Assignee: Novellus Systems, Inc.
    Inventors: Lawrence A Gochberg, Christopher W Burkhart
  • Publication number: 20090165952
    Abstract: The invention provides a semiconductor manufacturing apparatus having a high productivity per installed area.
    Type: Application
    Filed: February 29, 2008
    Publication date: July 2, 2009
    Inventors: Susumu Tauchi, Shingo Kimura, Minoru Yatomi, Masakazu Isozaki, Akitaka Makino
  • Patent number: 7537673
    Abstract: Disclosed herein is a plasma processing apparatus, which generates plasma within a vacuum chamber to process semiconductor substrates using the plasma. The apparatus comprises a substrate mounting table, an outer lifting bar, and a baffle. The outer lifting bar comprises a driving shaft, and a substrate supporting member coupled perpendicular to an upper end of the driving shaft. The baffle comprises a baffle plate coupled to the upper end of the driving shaft, and a shielding portion coupled to a lower surface of the baffle plate. The substrate supporting member is a foldable substrate supporting member. The baffle and the substrate supporting member are driven up and down at the same time by the driving shaft. As a result, it is possible to protect the substrate supporting member from plasma, and to prevent interference between the baffle and the outer lifting bar during operation of the plasma processing apparatus.
    Type: Grant
    Filed: September 6, 2005
    Date of Patent: May 26, 2009
    Assignee: Advanced Display Processing Engineering Co., Ltd.
    Inventors: Young Jong Lee, Jun Young Choi, Hyun Hwan Ahn, Chan-Ho Kang, Hyun-Woo Baek, Young-Joo Hwang
  • Publication number: 20090111212
    Abstract: Chalcogenide devices are delineated and sidewalls of the devices are sealed, in an anaerobic and/or anhydrous environment environment. Throughout the delineation and sealing steps, and any intervening steps, the sidewalls are not exposed to oxygen or water. In an illustrative embodiment, a cluster tool includes an etching tool and a sealing/deposition tool configured to etch and seal the chalcogenide devices and to maintain the devices in an anaerobic and/or anhydrous environment throughout the process.
    Type: Application
    Filed: October 25, 2007
    Publication date: April 30, 2009
    Inventors: Tyler Lowrey, Stanford R. Ovshinsky
  • Publication number: 20090078374
    Abstract: There is described apparatus and methods for transporting and processing substrates including wafers as to efficiently produce at reasonable costs improved throughput as compared to systems in use today. A key element is the use of a transport chamber along the sides of processing chambers for feeding substrates into a controlled atmosphere through a load lock and then along a transport chamber as a way of reaching processing chambers and then out of the controlled atmosphere following processing in the processing chambers.
    Type: Application
    Filed: December 1, 2008
    Publication date: March 26, 2009
    Applicant: INTEVAC, INC.
    Inventors: Terry Bluck, Kevin P. Fairbairn, Michael S. Barnes, Christopher T. Lane
  • Patent number: 7497912
    Abstract: A cell controller controls the operation of a transport robot to keep a substrate belonging to a succeeding lot carried into a heating part in the fourth transport cycle from being transported out of the heating part in the next or fifth transport cycle, thereby preventing interference between the transport of substrates belonging to the succeeding lot and the transport of substrates belonging to a preceding lot. If interference is likely to occur between the transport of the substrates belonging to the succeeding lot and the transport of the substrates belonging to the preceding lot, the cell controller causes the substrates belonging to the succeeding lot not to be transported but to remain in processing units. This allows the transport of the substrates belonging to the succeeding lot in consideration of only the next transport cycle.
    Type: Grant
    Filed: September 22, 2004
    Date of Patent: March 3, 2009
    Assignee: Dainippon Screen Mfg. Co., Ltd.
    Inventors: Yasufumi Koyama, Kenji Hashinoki, Takaharu Yamada
  • Patent number: 7455747
    Abstract: A substrate processing apparatus, according to which inspection of various devices in the substrate processing apparatus can be carried out with improved reliability, while reducing the burden on a user. A processing chamber processes semiconductor wafers therein. A transfer chamber transfers the semiconductor wafers. A FOUP (front opening unified pod) houses a plurality of dummy wafers for inspection of the processing chamber or the transfer chamber. A CPU causes an HDD (hard disk drive) to store a housing state relating to the arrangement of the dummy wafers in the FOUP before replacement of dummy wafers in the FOUP and that after the replacement as dummy wafer setup information.
    Type: Grant
    Filed: November 10, 2004
    Date of Patent: November 25, 2008
    Assignee: Tokyo Electron Limited
    Inventors: Noriaki Shimizu, Masahiro Numakura
  • Patent number: 7445689
    Abstract: The substrate processing system has an ozone generator that generates and supplies an ozone-containing gas to plural or N (N is a natural number not less than 2) ozone process units. The ozone generator has capacity of supplying the first processing fluid to only N?n of the first processing units simultaneously at respective supply rates each in accordance with a demand for appropriately performing the first process in each of the first processing units, where n is a natural number and N?n is not less than 2. A controller that controls a timing of loading of the substrate into the ozone process units by the conveyer so as to avoid a case where more than N?n of the first processing units are simultaneously carrying out the first treatment each using the first processing fluid, where n is a natural number and N?n is not less than 2.
    Type: Grant
    Filed: October 8, 2003
    Date of Patent: November 4, 2008
    Assignee: Tokyo Electron Limited
    Inventors: Masahiro Yoshida, Yasuhiro Chouno
  • Patent number: 7431795
    Abstract: A method and apparatus for process integration in manufacture of a gate structure of a field effect transistor are disclosed. The method includes assembling an integrated substrate processing system having a metrology module and a vacuumed processing platform to perform controlled and adaptive plasma processes without exposing the substrate to a non-vacuumed environment.
    Type: Grant
    Filed: July 29, 2004
    Date of Patent: October 7, 2008
    Assignee: Applied Materials, Inc.
    Inventors: Ajay Kumar, Ramesh Krishnamurthy
  • Patent number: 7422653
    Abstract: A vacuum chamber having a gate valve including a chamber housing defining an internal vacuum region and first and second openings through the chamber housing and a gate valve secured to the chamber housing. The gate valve includes a sealing door located in the processing region and configured to seal the first opening in the chamber housing; a vertical actuator located outside the chamber housing; a one-sided horizontal actuator located within the processing region and connected to the sealing door; and a valve shaft extending through the second opening in the chamber housing and connecting the vertical actuator to the one-sided horizontal actuator.
    Type: Grant
    Filed: July 13, 2004
    Date of Patent: September 9, 2008
    Assignee: Applied Materials, Inc.
    Inventors: Jeff Blahnik, Joe Kraus, Mike Rice
  • Publication number: 20080202687
    Abstract: A substrate processing apparatus is described. The apparatus includes a substrate load lock chamber. A substrate transfer chamber is vacuum coupled to the substrate load lock chamber. A plurality of process chamber modules are vacuum coupled to the substrate transfer chamber. At least two of the process chamber modules are horizontally clustered around the substrate transfer chamber. In addition, at least two of the process chamber modules are vertically arranged with one process chamber module above the other process chamber module. The substrate transfer chamber includes one or more robotic arms for transferring flat-panel display substrates between the substrate load lock chamber and the plurality of process chamber modules.
    Type: Application
    Filed: February 27, 2007
    Publication date: August 28, 2008
    Inventors: John M. Smith, James Carter Hall, Jeffrey G. Ellison
  • Publication number: 20080202686
    Abstract: A substrate processing apparatus is described. The apparatus includes a substrate load lock chamber. A substrate transfer chamber is vacuum coupled to the substrate load lock chamber. A plurality of process chamber modules are vacuum coupled to the substrate transfer chamber. Each of the process chamber modules includes a process chamber coupled to a dedicated support system so that each process chamber module can be disconnected from the substrate transfer chamber without disrupting any of the other process chamber modules. The substrate transfer chamber includes one or more robotic arms for transferring magnetic media substrates between the substrate load lock chamber and the plurality of process chamber modules.
    Type: Application
    Filed: February 27, 2007
    Publication date: August 28, 2008
    Inventors: John M. Smith, James Carter Hall, Jeffrey G. Ellison
  • Publication number: 20080135176
    Abstract: A substrate etching apparatus includes: a cassette to receive a substrate that has finished a previous process, and transfer the substrate; a first robot to take the substrate out of the cassette; a second robot to receive the substrate from the first robot and move the substrate mounted thereon vertically up and down; an etching cassette comprising a support to support the substrate and a holder to fix the substrate loaded from the second robot; a cassette fixing unit to fix at least one or more etching cassettes and being rotated at a pre-set angle to allow the substrate to be disposed perpendicular to the ground; and an etching unit to etch the substrate disposed perpendicular to the ground by the cassette fixing unit.
    Type: Application
    Filed: December 7, 2007
    Publication date: June 12, 2008
    Inventors: Sang-Min Park, Eun-Sub Lim, Won-Seop Chun, Man-Heon Park
  • Publication number: 20080125899
    Abstract: Depending on the degree of microfabrication requested for each wafer lot, transfer of wafers is controlled. A substrate processing apparatus includes a plurality of PMs 400 and an LLM 500 and is controlled by an EC 200. The EC 200 includes a selection unit 255 and a transfer control unit 260. The unit 255 selects the PM to which the next wafer is to be transferred, and selects, for each lot, whether the wafers are transferred to the same PM in one-lot units or in one-substrate units depending on the degree of the microfabrication requested for each lot. When the wafer transfer in lot units is selected, the unit 260 sequentially transfers the wafers included in the lot to the selected PM. Otherwise the unit 260 sequentially OR transfers the wafers included in the lot from the selected PM to a different PM one by one.
    Type: Application
    Filed: November 27, 2007
    Publication date: May 29, 2008
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: Masahiro NUMAKURA
  • Patent number: 7371306
    Abstract: An integrated tool that enables wet chemical processing chambers, lift-rotate units and other hardware to be quickly interchanged without having to recalibrate the transport system or other components to the replacement items. These tools are expected to reduce the down time associated with repairing or maintaining processing chambers and/or lift-rotate units so that the tools can maintain a high throughput. Several aspects of these tools are particularly useful for applications that have stringent performance requirements because components are more likely to require maintenance more frequently, and reducing the down time associated with maintaining such components will significantly enhance the integrated tool.
    Type: Grant
    Filed: June 3, 2004
    Date of Patent: May 13, 2008
    Assignee: Semitool, Inc.
    Inventors: Jeffry Alan Davis, Randy A. Harris
  • Patent number: 7361600
    Abstract: According to the present invention, a chemical and mechanical polishing apparatus (100) for a sample such as a wafer includes a built-in inspection apparatus (25) incorporated therein. The polishing apparatus (100) further comprises a load unit (21), a chemical and mechanical polishing unit (22), a cleaning unit (23), a drying unit (24) and an unload unit (26). The chemical and mechanical polishing apparatus (100) receives a sample from a preceding step (107), carries out respective processes for the sample by said respective units disposed within the polishing apparatus (100) and then transfers the processed sample to a subsequent step (109). Sample loading and unloading means and a sample transfer means are no more necessary for transferring the sample between respective units.
    Type: Grant
    Filed: November 1, 2002
    Date of Patent: April 22, 2008
    Assignee: Ebara Corporation
    Inventors: Tohru Satake, Nobuharu Noji
  • Patent number: 7357846
    Abstract: In a resist-removing process system 1 for removing a resist film formed on a wafer W, the resist film is denatured so as to make the resist film soluble in water and, then, the resist film is removed from the wafer by applying a water-wash processing to the denatured resist film. A series of the denaturing processing and the water-wash processing are carried out such that the denaturing processing of the resist film, which requires a long processing time, is performed by alternately using batch type resist-denaturing process units 21a and 21b each permitting a plurality of wafers W to be processed simultaneously, and the water-wash processing requiring a processing time shorter than that of the denaturing processing of the resist film is carried out by simultaneously operating six water-wash process units 22a to 22f each applying a water-wash processing to the wafers W one by one.
    Type: Grant
    Filed: July 27, 2004
    Date of Patent: April 15, 2008
    Assignee: Tokyo Electron Limited
    Inventor: Sadayuki Fujishima
  • Patent number: 7351291
    Abstract: A semiconductor processing system includes a load lock chamber and first to third process chambers connected to an airtight transfer chamber. The second process chamber is disposed below the first process chamber and overlaps with the first process chamber. The third process chamber is disposed at a position laterally distant from the first process chamber and leveled with the first process chamber. First to third exhaust ports are formed in the bottoms of the first to third process chambers, and connected to respective vacuum exhaust sections through first to third exhaust lines. A transfer mechanism is disposed in the transfer chamber to transfer a target substrate to and from the load lock chamber and the first to third process chambers.
    Type: Grant
    Filed: February 20, 2003
    Date of Patent: April 1, 2008
    Assignee: Tokyo Electron Limited
    Inventor: Seishi Murakami
  • Patent number: 7351292
    Abstract: An assembly for processing substrates, which processing comprises a vacuum deposition process, such as, for instance, sputtering, CVD or PECVD, which vacuum deposition process is carried out in at least one process chamber, the assembly being provided with a conveying device for moving the substrates from a vacuum lock to a process chamber, the conveying device, which extends in a vacuum space, permitting a continuous conveyance of a substrate adjacent the at least one process chamber and permitting an intermittent conveyance adjacent at least the at least one vacuum lock.
    Type: Grant
    Filed: May 21, 2003
    Date of Patent: April 1, 2008
    Assignee: OTB Group B.V.
    Inventors: Marinus F. J. Evers, Peter Briër, Leonardus P M Clijsen
  • Patent number: 7335277
    Abstract: A vacuum processing apparatus comprising a transfer unit disposed at a center thereof, plural processing chambers, each processing chamber having a processing table for supporting an object to be processed and carrying out processing using a gas; and a mass flow controller unit interposed between two processing chambers for supplying gas to the chambers.
    Type: Grant
    Filed: September 8, 2003
    Date of Patent: February 26, 2008
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Akitaka Makino, Youji Takahashi, Minoru Soraoka, Hideki Kihara, Susumu Tauchi
  • Patent number: 7331751
    Abstract: A vacuum processing method includes an atmospheric transfer step of transferring a wafer in atmospheric air to a vacuum transfer chamber using atmospheric transfer equipment disposed in atmospheric air, a vacuum transfer step of transferring the wafer received from the atmospheric transfer equipment to a position for a predetermined treatment within a vacuum processing chamber using vacuum transfer equipment disposed within the vacuum transfer chamber connecting the atmospheric transfer equipment and the vacuum processing chamber, a step of detecting the displacement of the wafer in a transverse direction with respect to a traveling direction near an ingress path of the wafer to the vacuum processing chamber by comparing a correct position of the wafer passing a line which is predetermined in advance with an actual position of said wafer being transferred by the vacuum transfer equipment, and a step of correcting the detected displacement of the wafer.
    Type: Grant
    Filed: September 10, 2003
    Date of Patent: February 19, 2008
    Assignee: Hitachi High-Technologies Corporation
    Inventor: Naoyuki Tamura
  • Publication number: 20070281448
    Abstract: Methods of filling a gap on a substrate with silicon oxide are described. The methods may include the steps of introducing an organo-silicon precursor and an oxygen precursor to a deposition chamber, reacting the precursors to form a first silicon oxide layer in the gap on the substrate, and etching the first silicon oxide layer to reduce the carbon content in the layer. The methods may also include forming a second silicon oxide layer on the first layer, and etching the second layer to reduce the carbon content in the second layer. The silicon oxide layers are annealed after the gap is filled.
    Type: Application
    Filed: May 25, 2007
    Publication date: December 6, 2007
    Applicant: Applied Materials, Inc.
    Inventors: Xiaolin Chen, Srinivas D. Nemani, Shankar Venkataraman
  • Publication number: 20070272355
    Abstract: An apparatus for processing a substrate includes a gas-atmosphere applying unit for applying gas atmosphere to the substrate, and a light-exposure unit for exposing the substrate to light through a lower surface of the substrate.
    Type: Application
    Filed: May 29, 2007
    Publication date: November 29, 2007
    Applicant: NEC LCD TECHNOLOGIES, LTD.
    Inventor: Shusaku KIDO
  • Patent number: 7285916
    Abstract: A multi-chamber plasma process system includes a plurality of process chambers, each of which has an inductively coupled plasma generator. The inductively coupled plasma generator is electrically connected to a main power supply through a first impedance matcher. The first impedance matcher has at least one variable inductor. Ignition electrodes of the inductively coupled plasma generators are connected in parallel with an ignition power supply configured as a variable transformer. The variable inductor of the first impedance matcher and the variable transformer of the ignition power supply are controlled by a controller. Each process chamber has a plasma density regulator. Power supply systems, including an ignition power source, a radio frequency (RF) power source, an impedance matcher, etc. can be effectively integrated. As a result, it is possible to decrease the area of facilities and the cost of the system, and to individually control the plasma density of each process chamber.
    Type: Grant
    Filed: July 29, 2005
    Date of Patent: October 23, 2007
    Assignee: New Power Plasma Co., Ltd.
    Inventor: Soon-Im Wi
  • Patent number: 7282097
    Abstract: Embodiments of the invention generally provide a slit valve door seal. In one embodiment, a slit valve door seal includes a ring-shaped base having a center axis and at least one finger extending from the base. The finger is oriented substantially parallel to the center axis, wherein the base and the finger define a single, one-piece seal member. The seal is particularly suitable for using in sealing substrate access passages formed in load lock chambers.
    Type: Grant
    Filed: June 14, 2004
    Date of Patent: October 16, 2007
    Assignee: Applied Materials, Inc.
    Inventors: Yoshiaki Tanase, William Norman Sterling, Paul Andrew Haworth
  • Patent number: 7279067
    Abstract: In a port structure 16A in a semiconductor processing system 2, a door 20A is disposed in a port 12A defined by upright wall 52 and 54. A table 48 opposed to the port is disposed outside the system. Defined on the table is a mount region 76 for mounting an open type cassette 18A for a process subject substrate W. A hood 50 is disposed rotatable relative to the table. The hood defines in its closed position a closed space surrounding the mount region and port, the space having a size to receive the cassette. First ventholes 58 are formed in the upright walls and/or the door so as to introduce gas from within the system into the closed space in the hood. Second ventholes 72 are formed in the table so as to discharge the gas can be discharged out of the closed space.
    Type: Grant
    Filed: February 17, 2003
    Date of Patent: October 9, 2007
    Assignee: Tokyo Electron Limited
    Inventors: Tetsuo Yoshida, Yoshiaki Sasaki, Hiroaki Saeki, Yasushi Taniyama, Hiroshi Takizawa
  • Patent number: 7276097
    Abstract: A load-lock system includes a load-lock chamber arranged between a storage port which stores a substrate and a process chamber which processes the substrate in a process space maintained at a pressure lower than that in the storage port, and a dehumidifying unit which forms a dehumidified environment in the load-lock chamber. A system preferably includes another chamber between said storage port and said load-lock chamber, wherein said dehumidifying unit dehumidifies said another chamber.
    Type: Grant
    Filed: March 24, 2004
    Date of Patent: October 2, 2007
    Assignee: Canon Kabushiki Kaisha
    Inventor: Ryo Edo