With Gas Inlet Structure (e.g., Inlet Nozzle, Gas Distributor) Patents (Class 156/345.33)
  • Patent number: 8486191
    Abstract: Methods and apparatuses for separately injecting gases into a reactor for a substrate processing system. The flow profiles of the gases are controlled with two or more sets of adjustable gas flow injectors. The methods are particularly useful for selective deposition of gases in a CVD system using volatile combinations of precursors and etchants. In either case, the gases are provided along separate flow paths that intersect in a relatively open reaction space, rather than in more confined upstream locations.
    Type: Grant
    Filed: April 7, 2009
    Date of Patent: July 16, 2013
    Assignee: ASM America, Inc.
    Inventors: Ravinder Aggarwal, Rand Conner, John Disanto, James A. Alexander
  • Patent number: 8481434
    Abstract: To remove the deposit including a high dielectric constant film deposited on an inside of a processing chamber, by using a cleaning gas activated only by heat. The method includes the steps of: loading a substrate or a plurality of substrates into the processing chamber; performing processing to deposit the high dielectric constant film on the substrate by supplying processing gas into the processing chamber; unloading the processed substrate from the inside of the processing chamber; and cleaning the inside of the processing chamber by supplying a halide gas and an oxygen based gas into the processing chamber, and removing the deposit including the high dielectric constant film deposited on the inside of the processing chamber, and in the step of cleaning the inside of the processing chamber, the concentration of the oxygen based gas in the halide gas and the oxygen based gas is set to be less than 7%.
    Type: Grant
    Filed: July 8, 2008
    Date of Patent: July 9, 2013
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Hironobu Miya, Eisuke Nishitani, Yuji Takebayashi, Masanori Sakai, Hirohisa Yamazaki, Toshinori Shibata, Minoru Inoue
  • Patent number: 8475625
    Abstract: Embodiments of the invention provide a method and apparatus, such as a processing chamber, suitable for etching high aspect ratio features. Other embodiments include a showerhead assembly for use in the processing chamber. In one embodiment, a processing chamber includes a chamber body having a showerhead assembly and substrate support disposed therein. The showerhead assembly includes at least two fluidly isolated plenums, a region transmissive to an optical metrology signal, and a plurality of gas passages formed through the showerhead assembly fluidly coupling the plenums to the interior volume of the chamber body.
    Type: Grant
    Filed: May 3, 2006
    Date of Patent: July 2, 2013
    Assignee: Applied Materials, Inc.
    Inventors: Sharma Pamarthy, Huutri Dao, Xiaoping Zhou, Kelly A. McDonough, Jivko Dinev, Farid Abooameri, David E. Gutierrez, Jim Zhongyi He, Robert S. Clark, Dennis M. Koosau, Jeffrey William Dietz, Declan Scanlan, Subhash Deshmukh, John P. Holland, Alexander Paterson
  • Publication number: 20130160948
    Abstract: In one embodiment, a plasma processing device may include a plasma processing chamber, a plasma region, an energy source, and a corrosion resistant component. The plasma processing chamber can be maintained at a vacuum pressure and can confine a plasma processing gas. The energy source can transmit energy into the plasma processing chamber and transform at least a portion of the plasma processing gas into plasma within the plasma region. The corrosion resistant component can be located within the plasma processing chamber. The corrosion resistant component can be exposed to the plasma processing gas and is not coincident with the plasma region. The corrosion resistant component may include an inner layer of stainless steel that is coated with an outer layer of Tantalum (Ta).
    Type: Application
    Filed: February 10, 2012
    Publication date: June 27, 2013
    Applicant: LAM RESEARCH CORPORATION
    Inventors: Hong Shih, Lin Xu, Rajinder Dhindsa, John Daugherty, Yan Fang, Siwen Li
  • Publication number: 20130160794
    Abstract: Methods and apparatus for cleaning substrate surfaces are provided herein. In some embodiments, a method of cleaning a surface of a substrate may include providing a hydrogen containing gas to a first chamber having a plurality of filaments disposed therein; flowing a current through the plurality of filaments to raise a temperature of the plurality of filaments to a process temperature sufficient to decompose at least some of the hydrogen containing gas; and cleaning the surface of the substrate by exposing the substrate to hydrogen atoms formed from the decomposed hydrogen containing gas for a period of time.
    Type: Application
    Filed: December 21, 2012
    Publication date: June 27, 2013
    Applicant: APPLIED MATERIALS, INC.
    Inventor: Applied Materials, Inc.
  • Publication number: 20130146225
    Abstract: A plasma chamber for use with a reactive gas source that includes a first conduit comprising a wall, an inlet, an outlet, an inner and outer surface, and a plurality of openings through the wall, the inlet receives a first gas for generating a reactive gas in the first conduit with a plasma formed in the first conduit. The plasma chamber also includes a second conduit that includes a wall, an inlet, and an inner surface. The first conduit is disposed in the second conduit defining a channel between the outer surface of the first conduit and the inner surface of the second conduit. A second gas provided to the inlet of the second conduit flows along the channel and through the plurality of openings of the wall of the first conduit into the first conduit to surround the reactive gas and plasma in the first conduit.
    Type: Application
    Filed: December 8, 2011
    Publication date: June 13, 2013
    Applicant: MKS INSTRUMENTS, INC.
    Inventors: Xing Chen, Youfan Gu, Chengxiang Ji, Paul Ashby Loomis, Ilya Pokidov, Kevin Wayne Wenzel
  • Patent number: 8460468
    Abstract: A device for doping, deposition or oxidation of semiconductor material at low pressure in a process tube, is provided with a tube closure as well as devices for supplying and discharging process gases and for generating a negative pressure in the process tube. A closure of the process chamber that is gas tight with respect to the process gases and the vacuum tight seal of the end of the tube closure are spatially separated from each other in relation to the atmosphere and are arranged on a same side of the process tube in such a manner that a bottom of a stopper, sealing the process chamber, rests against a sealing rim of the process tube and the tube closure end is sealed vacuum tight by a collar, which is attached to the process tube and against which a door rests sealingly.
    Type: Grant
    Filed: August 1, 2012
    Date of Patent: June 11, 2013
    Assignee: Centrotherm Photovoltaics AG
    Inventors: Alexander Piechulla, Claus Rade, Robert Michael Hartung
  • Patent number: 8461062
    Abstract: The substrate processing apparatus includes: a processing chamber for storing and processing substrates stacked in multiple stages in horizontal posture; at least one processing gas supply nozzle which extends running along an inner wall of the processing chamber in the stacking direction of the substrates and supplies a processing gas to the inside of the processing chamber; a pair of inactive gas supply nozzles which are provided so as to extend running along the inner wall of the processing chamber in the stacking direction of the substrates and so as to sandwich the processing gas supply nozzle from both sides thereof along the circumferential direction of the substrates and which supply the inactive gas to the inside of the processing chamber; and an exhaust line for exhausting the inside of the processing chamber.
    Type: Grant
    Filed: December 20, 2011
    Date of Patent: June 11, 2013
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Masanori Sakai, Yuji Takebayashi, Tsutomu Kato, Shinya Sasaki, Hirohisa Yamazaki
  • Publication number: 20130139967
    Abstract: Apparatus for treating products with plasma generated from a source gas. The apparatus includes a vacuum chamber, a plurality of juxtaposed electrodes arranged in adjacent pairs inside the vacuum chamber, and a plasma excitation source electrically coupled with the electrodes. The apparatus may include conductive members extending into the interior of each electrode to establish a respective electrical connection with the plasma excitation source. The apparatus may include a gas distribution manifold and multiple gas delivery tubes coupled with the gas distribution manifold. Each gas delivery tube has an injection port configured to inject the source gas between each adjacent pair of electrodes. The apparatus may further include flow restricting members that operate to partially obstruct a peripheral gap between each adjacent pair of electrodes, which restricts the escape of the source gas from the process chamber between each adjacent pair of electrodes.
    Type: Application
    Filed: February 11, 2013
    Publication date: June 6, 2013
    Applicant: NORDSON CORPORATION
    Inventor: NORDSON CORPORATION
  • Patent number: 8454850
    Abstract: Described herein are a method and an apparatus for removing metal oxides and/or forming solder joints on at least a portion of a substrate surface within a target area. In one particular embodiment, the method and apparatus form a solder joint within a substrate comprising a layer having a plurality of solder bumps by providing one or more energizing electrodes and exposing at least a portion of the layer and solder bumps to the energizing electrode.
    Type: Grant
    Filed: August 16, 2010
    Date of Patent: June 4, 2013
    Assignee: Air Products and Chemicals, Inc.
    Inventors: Chun Christine Dong, Ranajit Ghosh, Gregory Khosrov Arslanian
  • Publication number: 20130137273
    Abstract: The semiconductor processing system includes a reactor chamber that has an upper wall and a lower wall. A hold member is disposed in the reactor chamber to hold a semiconductor substrate in such a way that it faces the lower wall of the reactor chamber.
    Type: Application
    Filed: November 28, 2011
    Publication date: May 30, 2013
    Applicant: Infineon Technologies AG
    Inventor: Manfred Engelhardt
  • Publication number: 20130134128
    Abstract: A method and device for processing wafer-shaped articles comprises a closed process chamber. A rotary chuck is located within the process chamber, and is adapted to hold a wafer shaped article thereon. An interior fluid distribution ring is positioned above the rotary chuck, and comprises an annular surface inclined downwardly from a radially inner edge to a radially outer edge thereof. At least one fluid distribution nozzle extends into the closed process chamber and is positioned so as to discharge fluid onto the annular surface of the fluid distribution ring.
    Type: Application
    Filed: November 30, 2011
    Publication date: May 30, 2013
    Applicant: LAM RESEARCH AG
    Inventors: Ulrich TSCHINDERLE, Andreas GLEISSNER, Michael BRUGGER
  • Patent number: 8449763
    Abstract: Embodiments of a nozzle reactor of the type useable to inject a first material feed stock and a second material feed stock to cause interaction between the first material feed stock and second material feed stock are described herein. According to some embodiments, the nozzle reactor may crack residual oil produced by other processing units in a refinery process. Furthermore, nozzle reactors may replace traditional processing units of a refinery process, such as cokers, hydrocrackers and deasphalting units.
    Type: Grant
    Filed: April 15, 2010
    Date of Patent: May 28, 2013
    Assignee: Marathon Canadian Oil Sands Holding Limited
    Inventor: Willem P. C. Duyvesteyn
  • Patent number: 8430960
    Abstract: Parasitic deposits are controlled in a deposition system for depositing a film on a substrate, the deposition system of the type defining a reaction chamber for receiving the substrate and including a process gas in the reaction chamber and an interior surface contiguous with the reaction chamber. Such control is provided by flowing a buffer gas between the interior surface and at least a portion of the process gas to form a gas barrier layer such that the gas barrier layer inhibits contact between the interior surface and components of the process gas. A deposition system for depositing a film on a substrate using a process gas includes a reaction chamber adapted to receive the substrate and the process gas. The system further includes an interior surface contiguous with the reaction chamber.
    Type: Grant
    Filed: August 29, 2006
    Date of Patent: April 30, 2013
    Assignee: Cree, Inc.
    Inventors: Joseph John Sumakeris, Michael James Paisley, Michael John O'Loughlin
  • Patent number: 8430962
    Abstract: A gas supply mechanism includes a gas introduction member having gas inlet portions through which a gas is introduced into a processing chamber, a processing gas supply unit, a processing gas supply path, branch paths, an additional gas supply unit and an additional gas supply path. The gas inlet portions includes inner gas inlet portions for supplying the gas toward a region where a target substrate is positioned in the chamber and an outer gas inlet portion for introducing the gas toward a region outside an outermost periphery of the target substrate. The branch paths are connected to the inner gas inlet portions, and the additional gas supply path is connected to the outer gas inlet portion.
    Type: Grant
    Filed: October 31, 2008
    Date of Patent: April 30, 2013
    Assignee: Tokyo Electron Limited
    Inventor: Noriiki Masuda
  • Publication number: 20130102155
    Abstract: An ICP A plasma reactor having an enclosure wherein at least part of the ceiling forms a dielectric window. A substrate support is positioned within the enclosure below the dielectric window. An RF power applicator is positioned above the dielectric window to radiate RF power through the dielectric window and into the enclosure. A plurality of gas injectors are distributed uniformly above the substrate support to supply processing gas into the enclosure. A circular baffle is situated inside the enclosure and positioned above the substrate support but below the plurality of gas injectors so as to redirect flow of the processing gas.
    Type: Application
    Filed: December 26, 2011
    Publication date: April 25, 2013
    Inventors: Shi GANG, Songlin Xu, TuQiang Ni
  • Publication number: 20130098554
    Abstract: An improved gas injection assembly for mounting in a central bore of a dielectric window of an inductively coupled plasma chamber includes a window having a central bore and cylindrical recess configured to receive an annular insert having a bayonet opening. The gas injector assembly includes a gas injector, an RF shield surrounding the gas injector, and a faceplate surrounding the RF shield, the faceplate including projections at the bottom thereof for engaging the bayonet opening in the annular insert. The window and gas injection assembly are designed to avoid chipping of the window which is typically made of quartz and in prior mounting arrangements the window has a bayonet opening machined therein. Due to the brittle nature of the quartz material, the machined bayonet opening was subject to chipping when the gas injector assembly was inserted into the bayonet opening.
    Type: Application
    Filed: October 25, 2011
    Publication date: April 25, 2013
    Applicant: Lam Research Corporation
    Inventors: Rish Chhatre, David Schaefer
  • Publication number: 20130098872
    Abstract: An array of electron beam sources surrounding a processing region of a plasma reactor is periodically switched to change electron beam propagation direction and remove or reduce non-uniformities.
    Type: Application
    Filed: August 27, 2012
    Publication date: April 25, 2013
    Applicant: Applied Materials, Inc.
    Inventors: Leonid Dorf, Shahid Rauf, Kenneth S. Collins, Nipun Misra, James D. Carducci, Gary Leray, Kartik Ramaswamy
  • Patent number: 8425682
    Abstract: A gas chamber contains upper and lower chamber bodies forming a cavity, a heating chuck for a wafer, a remote gas source, and an exhaust unit. Gas is injected into the cavity through channels in an injector. Each channel has sections that are bent with respect to each other at a sufficient angle to substantially eliminate entering light rays entering the channel from exiting the channel without reflection. The channels have funnel-shaped nozzles at end points proximate to the chuck. The injector also has thermal expansion relief slots and small gaps between the injector and mating surfaces of the chamber and gas source. The temperature of the injector is controlled by a cooling liquid in cooling channels and electrical heaters in receptacles of the injector. The upper chamber body is funnel-shaped and curves downward at an end of the upper chamber body proximate to the chuck.
    Type: Grant
    Filed: September 21, 2012
    Date of Patent: April 23, 2013
    Assignee: Lam Research Corporation
    Inventors: Ing-Yann Wang, Jaroslaw W. Winniczek, David J. Cooperberg, Erik A. Edelberg, Robert P. Chebi
  • Patent number: 8420168
    Abstract: A delivery device for thin-film material deposition has at least first, second, and third inlet ports for receiving a common supply for a first, a second and a third gaseous material, respectively. Each of the first, second, and third elongated emissive channels allow gaseous fluid communication with one of corresponding first, second, and third inlet ports. The delivery device can be formed from apertured plates, superposed to define a network of interconnecting supply chambers and directing channels for routing each of the gaseous materials from its corresponding inlet port to a corresponding plurality of elongated emissive channels. The delivery device comprises a diffusing channel formed by a relief pattern between facing plates. Also disclosed is a process for thin film deposition. Finally, more generally, a flow diffuser and a corresponding method of diffusing flow is disclosed.
    Type: Grant
    Filed: May 8, 2012
    Date of Patent: April 16, 2013
    Assignee: Eastman Kodak Company
    Inventors: Roger S. Kerr, David H. Levy, James T. Murray
  • Publication number: 20130087285
    Abstract: A plasma etching apparatus of an electrodeless system can uniformize a radical density, and improve the uniformity of etching. The plasma etching apparatus of the electrodeless system includes a decompression chamber, a gas supply mechanism, a dielectric window, a plasma generation unit, a stage on which a sample is placed, and a first RF power supply connected to the stage. The plasma etching apparatus further includes a gas introduction mechanism for supplying a second gas, and a second RF power supply for inputting a RF power that allows radicals to be generated in an outer periphery of the sample.
    Type: Application
    Filed: August 22, 2012
    Publication date: April 11, 2013
    Inventors: Naoyuki Kofuji, Ken'etsu Yokogawa, Nobuyuki Negishi, Masami Kamibayashi, Masatoshi Miyake
  • Patent number: 8414734
    Abstract: The present invention provides a system (100) for aligning a dispensing apparatus (110) utilized within a semiconductor deposition chamber (102). A stationary reference apparatus (106) is disposed along the bottom of the deposition chamber. A self-alignment support system (122), comprising one or more support components (124), is intercoupled between the dispensing apparatus and a deposition system exterior component (112). The self-alignment support system is adapted to facilitate and secure repositioning of the dispensing apparatus responsive to pressure applied to the dispensing surface (114) thereof. A non-yielding offset component (126) is placed upon a first surface (108) of the stationary reference apparatus. The dispensing surface of the dispensing apparatus is engaged with the offset component, and pressure is applied to the dispensing apparatus via the offset component until a desired alignment is achieved.
    Type: Grant
    Filed: May 31, 2007
    Date of Patent: April 9, 2013
    Assignee: Texas Instruments Incorporated
    Inventor: Martin B. Garcia
  • Patent number: 8414703
    Abstract: An integrated processing tool is described comprising a full-wafer processing module and a combinatorial processing module. Chemicals for use in the combinatorial processing module are fed from a delivery system including a set of first manifolds. An output of each first manifold is coupled to at least one mixing vessel. An output of each mixing vessel feeds more than one of a set of second manifolds. An output of each set of second manifolds feeds one of multiple site-isolated reactors of the combinatorial processing module.
    Type: Grant
    Filed: May 21, 2012
    Date of Patent: April 9, 2013
    Assignee: Intermolecular, Inc.
    Inventors: Kurt H. Weiner, Tony P. Chiang, Aaron Francis, John Schmidt
  • Patent number: 8409459
    Abstract: A chamber component configured to be coupled to a processing chamber is described. The chamber component comprises one or more adjustable gas passages through which a process gas is introduced to the process chamber. The adjustable gas passage may be configured to form a hollow cathode that creates a hollow cathode plasma in a hollow cathode region having one or more plasma surfaces in contact with the hollow cathode plasma. Therein, at least one of the one or more plasma surfaces is movable in order to vary the size of the hollow cathode region and adjust the properties of the hollow cathode plasma. Furthermore, one or more adjustable hollow cathodes may be utilized to adjust a plasma process for treating a substrate.
    Type: Grant
    Filed: February 28, 2008
    Date of Patent: April 2, 2013
    Assignee: Tokyo Electron Limited
    Inventors: Kazuki Denpoh, Peter L G Ventzek, Lin Xu, Lee Chen
  • Patent number: 8409353
    Abstract: A method and apparatus for oxidizing materials used in semiconductor integrated circuits, for example, for oxidizing silicon to form a dielectric gate. An ozonator is capable of producing a stream of least 70% ozone. The ozone passes into an RTP chamber through a water-cooled injector projecting into the chamber. Other gases such as hydrogen to increase oxidation rate, diluent gas such as nitrogen or O2, enter the chamber through another inlet. The chamber is maintained at a low pressure below 20 Torr and the substrate is advantageously maintained at a temperature less than 800° C. Alternatively, the oxidation may be performed in an LPCVD chamber including a pedestal heater and a showerhead gas injector in opposition to the pedestal.
    Type: Grant
    Filed: October 20, 2011
    Date of Patent: April 2, 2013
    Assignee: Applied Materials, Inc.
    Inventors: Yoshitaka Yokota, Sundar Ramamurthy, Vedapuram Achutharaman, Cory Czarnik, Mehran Behdjat, Christopher Olsen
  • Patent number: 8402845
    Abstract: An apparatus for deploying two fluids separately into a reaction chamber is provided. The apparatus includes a first distribution network that is formed on a plate having a distribution face and a dispensing face. The first distribution network is defined by a plurality of recessed channels on the distribution face. The plurality of recessed channels includes a plurality of thru-ports that extend from the plurality of recessed channels to the dispensing face. The apparatus further includes a second distribution network that has passages formed below the plurality of recessed channels and above the dispensing face. A first set of ports extends from the passages to the distribution face and a second set of ports extends from a top surface of the distribution face to the dispensing face.
    Type: Grant
    Filed: August 28, 2012
    Date of Patent: March 26, 2013
    Assignee: Intermolecular, Inc.
    Inventor: Jay B. Dedontney
  • Publication number: 20130068391
    Abstract: Slit valve apparatuses are described. In one aspect, a slit valve apparatus is disclosed having a gate with at least one sealing surface, a blocker element, and a connector member that structurally connects the gate and the blocker element. Systems and methods including the slit valve apparatus are also disclosed, as are numerous other aspects.
    Type: Application
    Filed: September 10, 2012
    Publication date: March 21, 2013
    Applicant: APPLIED MATERIALS, INC.
    Inventors: John J. Mazzocco, Dale Robert Du Bois, Juan Carlos Rocha-Alvarez
  • Publication number: 20130072013
    Abstract: An etching method comprises etching an oxide layer with a first dc bias of a plasma chamber, removing a photoresist layer with a second dc bias of the plasma chamber and etching through a liner film with a third dc bias of the plasma chamber. In order to reduce the copper deposition on the wall of the plasma chamber, the third dc bias is set to be less than the first and second dc bias.
    Type: Application
    Filed: September 16, 2011
    Publication date: March 21, 2013
    Applicant: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Shih-Hung Chen, Chien-An Chen, Ying Xiao, Ying Zhang
  • Patent number: 8398769
    Abstract: A chemical vapor deposition apparatus is disclosed, which is capable of improving the yield by an extension of a cleaning cycle, the chemical vapor deposition apparatus comprising a chamber with a substrate-supporting member for supporting a substrate; a chamber lid with plural first source supplying holes, the chamber lid installed over the chamber; plural source supplying pipes for supplying a process source to the plural first source supplying holes; a spraying-pipe supporting member with plural second source supplying holes corresponding to the plural first source supplying holes, the spraying-pipe supporting member detachably installed in the chamber lid; and plural source spraying pipes with plural third source supplying holes and plural source spraying holes, the plural source spraying pipes supported by the spraying-pipe supporting member, wherein the plural third source supplying holes are supplied with the process source through the plural second source supplying holes, and the plural source sprayin
    Type: Grant
    Filed: May 27, 2010
    Date of Patent: March 19, 2013
    Assignee: Jusung Engineering Co., Ltd.
    Inventors: Sang Ki Park, Jung Min Ha, Seong Ryong Hwang
  • Patent number: 8398814
    Abstract: A tunable gas flow equalizer is described. In an embodiment, the tunable flow equalizer includes a gas flow equalizer plate having primary opening and a secondary opening. The primary opening may surround a substrate support, and the secondary opening may be configured with a tuner. In an embodiment, the substrate support may be vertically adjustable with respect to the gas flow equalizer plate. The flow uniformity may be fine tuned by adjusting a tuner configured with a secondary opening in the gas flow equalizer plate and/or by adjusting the height of a vertically positionable substrate support plate having an inwardly tapered skirt 528 with respect to the gas flow equalizer plate 520.
    Type: Grant
    Filed: July 8, 2009
    Date of Patent: March 19, 2013
    Assignee: Applied Materials, Inc.
    Inventors: Ajit Balakrishna, Jason Andrew Kenney, Andrew Nguyen, Kenneth Collins
  • Patent number: 8398812
    Abstract: A substrate treating apparatus for stripping photoresist on a substrate includes a support part for supporting the substrate, a dry-type treating part for stripping the photoresist on the substrate, and a wet-type treating part for stripping the photoresist on the substrate. While the substrate is supported by the support part, the photoresist on the substrate is primarily stripped by means of the dry-type treating part and secondarily stripped by means of the wet-type treating part. The dry-type treating part includes a plasma supply unit configured to supply plasma onto the substrate and a moving unit configured to vary a relative position of the plasma supply unit and the substrate.
    Type: Grant
    Filed: July 31, 2007
    Date of Patent: March 19, 2013
    Assignee: Semes Co. Ltd.
    Inventors: Yi Jung Kim, Kyung Jin Seo, Chang Ro Yoon, Jung Keun Cho
  • Patent number: 8397668
    Abstract: The object of the invention is to provide a plasma processing apparatus having enhanced plasma processing uniformity. The plasma processing apparatus comprises a processing chamber 1, means 13 and 14 for supplying processing gas into the processing chamber, evacuation means 25 and 26 for decompressing the processing chamber 1, an electrode 4 on which an object 2 to be processed such as a wafer is placed, and an electromagnetic radiation power supply 5A, wherein at least two kinds of processing gases having different composition ratios of O2 or N2 are introduced into the processing chamber through different gas inlets so as to control the in-plane uniformity of the critical dimension while maintaining the in-plane uniformity of the process depth.
    Type: Grant
    Filed: March 5, 2009
    Date of Patent: March 19, 2013
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Hiroyuki Kobayashi, Kenji Maeda, Kenetsu Yokogawa, Masaru Izawa, Tadamitsu Kanekiyo
  • Patent number: 8398775
    Abstract: The present invention comprises an electrode arrangement for a coating device with a stationary first electrode (3) and a second movable electrode (18), whose principle surfaces are opposing each other during coating, wherein the second electrode (18) may be moved along a plane parallel to the opposing principle surfaces, wherein at least one end face of an electrode running transversely to the principal surface an electrical shield (12, 19, 13) is provided, which extends at least partially parallel to the end face of one electrode, wherein at least one part (14) of the shield is formed so as to be movable.
    Type: Grant
    Filed: November 8, 2007
    Date of Patent: March 19, 2013
    Assignee: Applied Materials, Inc.
    Inventors: Frank Stahr, Ulf Stephan, Olaff Steinke, Klaus Schade
  • Patent number: 8398770
    Abstract: A process for depositing a thin film material on a substrate is disclosed, comprising simultaneously directing a series of gas flows from the output face of a delivery head of a thin film deposition system toward the surface of a substrate, and wherein the series of gas flows comprises at least a first reactive gaseous material, an inert purge gas, and a second reactive gaseous material, wherein the first reactive gaseous material is capable of reacting with a substrate surface treated with the second reactive gaseous material, wherein one or more of the gas flows provides a pressure that at least contributes to the separation of the surface of the substrate from the face of the delivery head. A system capable of carrying out such a process is also disclosed.
    Type: Grant
    Filed: September 26, 2007
    Date of Patent: March 19, 2013
    Assignee: Eastman Kodak Company
    Inventors: David H. Levy, Roger S. Kerr, Jeffrey T. Carey
  • Patent number: 8398771
    Abstract: A substrate processing apparatus in accordance with the present invention includes a process chamber configured to accommodate a substrate, a gas supply line configured to supply a gas to an inside of the process chamber, and an exhaust line configured to exhaust the inside of the process chamber. The gas supply line of the substrate processing apparatus includes a preheating unit preheating the gas supplied from a gas source, a metal pipeline having an angled section wherein the metal pipe line connects the preheating unit and the inside of the process chamber to supply the gas preheated by the preheating unit into the process chamber, and a heat dissipation member covering the angled section to dissipate heat from the angled section.
    Type: Grant
    Filed: July 7, 2009
    Date of Patent: March 19, 2013
    Assignee: Hitachi Kokusai Electric, Inc.
    Inventors: Takayuki Nakada, Koichi Sada, Tomoyuki Matsuda
  • Patent number: 8394201
    Abstract: An atomic layer deposition apparatus and an atomic layer deposition method increase productivity. The atomic layer deposition apparatus includes a reaction chamber, a heater for supporting a plurality of semiconductor substrates with a given interval within the reaction chamber and to heat the plurality of semiconductor substrates and a plurality of injectors respectively positioned within the reaction chamber and corresponding to the plurality of semiconductor substrates supported by the heater. The plurality of injectors are individually swept above the plurality of semiconductor substrates to spray reaction gas.
    Type: Grant
    Filed: January 21, 2009
    Date of Patent: March 12, 2013
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Ki-Hyun Kim, Ki-Vin Im, Hoon-Sang Choi, Moon-Hyeong Han
  • Patent number: 8388753
    Abstract: A coating apparatus includes a deposition case, a reaction assembly, two precursors, a target, and a driving assembly. The deposition case includes a housing defining a cavity for receiving workpieces. The reaction assembly receives in the cavity and includes an outer barrel, an inner barrel, a plurality of nozzles, and a plurality of pipes. The outer barrel includes a main body and two protruding bodies. The main body and the inner barrel cooperatively define a first room therebetween. Each protruding body defines a second room communicating with the first room. The inner barrel defines a third room. The nozzles extend from the main body and communicate with the first room. The pipes extend from the inner barrel and communicate with the third room. The precursors receive in the second rooms. The target receives in the third room. The driving assembly drives the housing to rotate relative to the reaction assembly.
    Type: Grant
    Filed: May 31, 2010
    Date of Patent: March 5, 2013
    Assignee: Hon Hai Precision Industry Co., Ltd.
    Inventor: Shao-Kai Pei
  • Patent number: 8387562
    Abstract: An etching chamber 1 incorporates a focus ring 9 so as to surround a semiconductor wafer W provided on a lower electrode 4. The plasma processor is provided with an electric potential control DC power supply 33 to control the electric potential of this focus ring 9, and so constituted that the lower electrode 4 is supplied with a DC voltage of, e.g., ?400 to ?600 V to control the electric potential of the focus ring 9. This constitution prevents surface arcing from developing along the surface of a substrate to be processed.
    Type: Grant
    Filed: September 23, 2011
    Date of Patent: March 5, 2013
    Assignee: Tokyo Electron Limited
    Inventors: Akihiro Kikuchi, Satoshi Kayamori, Shinya Shima, Yuichiro Sakamoto, Kimihiro Higuchi, Kaoru Oohashi, Takehiro Ueda, Munehiro Shibuya, Tadashi Gondai
  • Publication number: 20130048216
    Abstract: A decoupled capacitive CVD reactor is described, which provides improved CVD capabilities, including processing at lower temperatures, performing alternating deposition and etching steps, and performing in situ cleaning of the chamber, without the need for a remote plasma source. Two RF frequencies are coupled to the susceptor, while the anode is grounded. The high frequency RF source is operated so as to control the plasma density, while the low frequency RF source is operated to control species bombardment on the substrate, so as to control the properties of the film being deposited. Additionally, both RF sources may be controlled, together with selection of gasses supplied to the chamber, to operate the chamber either in deposition mode, partial etch mode, etching mode, or cleaning mode.
    Type: Application
    Filed: October 29, 2012
    Publication date: February 28, 2013
    Applicant: ADVANCED MICRO-FABRICATION EQUIPMENT, INC. ASIA
    Inventor: Advanced micro-fabrication equipment, Inc. Asia
  • Publication number: 20130052830
    Abstract: Provided is a plasma reactor having a dual inductively coupled plasma source that includes a plasma reactor body having a substrate processing area and a dielectric window which comes in contact with the substrate processing area; and a plasma source including a first antenna for providing first induced electromotive force for generating plasma onto a central area of the substrate processing area through the dielectric window and a second antenna for providing second induced electromotive force for generating the plasma onto an outer area of the substrate processing area, wherein a TSV is formed at a target substrate within the substrate processing area by repeatedly performing a deposition process and an etch process using the plasma generated through the dual inductively coupled plasma source.
    Type: Application
    Filed: December 27, 2011
    Publication date: February 28, 2013
    Inventors: Gyoo-Dong KIM, Dae-Kyu Choi
  • Patent number: 8382939
    Abstract: A method and apparatus for providing flow into a processing chamber are provided. In one embodiment, a vacuum processing chamber is provided that includes a substrate support pedestal disposed in an interior volume of a chamber body, a lid enclosing the interior volume, a gas distribution plate positioned below the lid and above the substrate support pedestal, and a vortex inducing gas inlet oriented to induce a vortex of gas circulating in a plenum around a center line of the chamber body prior to the gas passing through the gas distribution plate.
    Type: Grant
    Filed: July 13, 2009
    Date of Patent: February 26, 2013
    Assignee: Applied Materials, Inc.
    Inventors: Michael Charles Kutney, Roger Alan Lindley
  • Patent number: 8377207
    Abstract: In a purge gas assembly provided: in an outer circumference portion of a substrate stage, with a shoulder portion offset downward below a substrate mounting surface on an upper end of the substrate stage; a purge ring enclosing a stepped circumferential surface between the substrate mounting surface and the shoulder portion; and an annular gas ejection passage for ejecting the purge gas, the gas ejecting passage being defined between the stepped circumferential surface and an inner circumferential surface of the purge ring, an arrangement is made such that the purge gas can be ejected uniformly from the gas ejection passage over the entire circumference thereof and that the deposition of a film on an upper surface of the purge ring can also be restricted, and further that the construction is simplified. The purge ring has formed therein an annular groove which recesses from a lower surface thereof upward.
    Type: Grant
    Filed: April 23, 2008
    Date of Patent: February 19, 2013
    Assignee: ULVAC, Inc.
    Inventors: Tsuyoshi Kagami, Osamu Irino, Nobuyuki Kato, Harunori Ushikawa
  • Patent number: 8377254
    Abstract: A plasma processing apparatus including an essentially cylindrical chamber, which is airtight and grounded. The antenna unit is disposed on top of the chamber. The chamber has a divisible structure formed of an essentially cylindrical housing and a cylindrical chamber wall connected to the housing from above and surrounding a process space. The chamber wall is detachable.
    Type: Grant
    Filed: May 29, 2006
    Date of Patent: February 19, 2013
    Assignee: Tokyo Electron Limited
    Inventor: Jun Yamashita
  • Patent number: 8372200
    Abstract: Occurrence of a back-flow of plasma or ignition of gas for plasma excitation in a longitudinal hole portion can be prevented more completely, and a shower plate in which efficient plasma excitation is possible is provided. In shower plate 105, which is arranged in processing chamber 102 of a plasma processing apparatus and discharges gas for plasma excitation into processing chamber, porous-gas passing body 114 having a pore that communicates in the gas flow direction is fixed onto longitudinal hole 112 used as a discharging path of gas for plasma excitation. The pore diameter of a narrow path in a gas flowing path formed of a pore, which communicates to porous-gas passing body 114, is 10 ?m or lower.
    Type: Grant
    Filed: June 13, 2007
    Date of Patent: February 12, 2013
    Assignees: Tokyo Electron Ltd., National University Corporation Tohoku University
    Inventors: Masahiro Okesaku, Tetsuya Goto, Tadahiro Ohmi, Kiyotaka Ishibashi
  • Patent number: 8372238
    Abstract: Apparatus for treating products with plasma generated from a source gas. The apparatus includes a vacuum chamber, a plurality of juxtaposed electrodes arranged in adjacent pairs inside the vacuum chamber, and a plasma excitation source electrically coupled with the electrodes. The apparatus may include conductive members extending into the interior of each electrode to establish a respective electrical connection with the plasma excitation source. The apparatus may include a gas distribution manifold and multiple gas delivery tubes coupled with the gas distribution manifold. Each gas delivery tube has an injection port configured to inject the source gas between each adjacent pair of electrodes. The apparatus may further include flow restricting members that operate to partially obstruct a peripheral gap between each adjacent pair of electrodes, which restricts the escape of the source gas from the process chamber between each adjacent pair of electrodes.
    Type: Grant
    Filed: May 20, 2008
    Date of Patent: February 12, 2013
    Assignee: Nordson Corporation
    Inventors: Thomas V. Bolden, II, Louis Fierro, James D. Getty
  • Patent number: 8372201
    Abstract: A system and method for distributing one or more gases to an atomic layer deposition (ALD) reactor. An integrated inlet manifold block mounted over a showerhead assembly includes high temperature (up to 200° C.) rated valves mounted directly thereto, and short, easily purged reactant lines. Integral passageways and metal seals avoid o-rings and attendant dead zones along flow paths. The manifold includes an internal inert gas channel for purging reactant lines within the block inlet manifold.
    Type: Grant
    Filed: March 21, 2011
    Date of Patent: February 12, 2013
    Assignee: ASM America, Inc.
    Inventors: Timothy J. Provencher, Craig B. Hickson
  • Patent number: 8367966
    Abstract: The ceramic plasma reactor includes: a plurality of unit electrodes each of which comprises a plate-shaped ceramic dielectric body 4 and a conductive film 3 embedded in the ceramic dielectric body superimposing them each other with a gap which works as a discharge portion 11, and preferably being formed by sandwiching one unit electrode 2b having no through holes 15 by two unit electrodes having plural through holes 2a there between. A partition wall plate 9 is provided by facing one of unit electrodes on a side opposite to the gap and being held by a holding member 7 at a predetermined distance so as to form there between a gas introducing-circulating portion 21 for introducing and circulating gas in the through-holes 15 so as to send gas introduced to the gap between the unit electrodes as a discharge portion by applying a voltage thereto to generate plasma.
    Type: Grant
    Filed: January 9, 2009
    Date of Patent: February 5, 2013
    Assignee: NGK Insulators, Ltd.
    Inventors: Michio Takahashi, Hiroshi Mizuno, Masaaki Masuda
  • Patent number: 8367965
    Abstract: An upper electrode for use in a plasma processing chamber is provided, which includes a center segment and a plurality of outer segments. The outer segments are attached to the center segment to adjust the area of the overall electrode. Gas distribution holes may be selectively formed on the center and outer segments, or both. By adding or removing the outer segments and stacking layers, the dimension of the electrode, the area of gas spurting region and the thickness of the provided upper electrode may be adjusted.
    Type: Grant
    Filed: August 28, 2008
    Date of Patent: February 5, 2013
    Assignee: Hermes-Epitek Corp.
    Inventors: Benson Chao, Chi-Hua Tseng
  • Publication number: 20130026136
    Abstract: This disclosure provides systems, methods and apparatus for fabricating electromechanical system devices within a plasma-etch reaction chamber. In one aspect, a plasma-etch system includes a plasma-etch reaction chamber, an inlet in fluid communication with the reaction chamber, a cathode positioned within the reaction chamber and a non-hollow anode positioned within the reaction chamber between the inlet and the cathode. The inlet is configured to introduce a process gas into the reaction chamber such that at least a portion of the process gas strikes an upper surface of the anode and is allowed to flow across the upper surface and around the edges of the anode. The anode can be a liner plate in place of a showerhead.
    Type: Application
    Filed: July 29, 2011
    Publication date: January 31, 2013
    Applicant: QUALCOMM MEMS Technologies, Inc.
    Inventor: Teruo Sasagawa
  • Publication number: 20130029484
    Abstract: One or more openings in an organic mask layer deposited on a first insulating layer over a substrate are formed. One or more openings in the first insulating layer are formed through the openings in the organic mask using a first iodine containing gas. An antireflective layer can be deposited on the organic mask layer. One or more openings in the antireflective layer are formed down to the organic mask layer using a second iodine containing gas. The first insulating layer can be deposited on a second insulating layer over the substrate. One or more openings in the second insulating layer can be formed using a third iodine containing gas.
    Type: Application
    Filed: July 25, 2011
    Publication date: January 31, 2013
    Inventors: Daisuke Shimizu, Jong Mun Kim