With Gas Inlet Structure (e.g., Inlet Nozzle, Gas Distributor) Patents (Class 156/345.33)
  • Patent number: 8361274
    Abstract: A vacuum processing apparatus is provided with: a vacuum processing tank; a first gas introduction section that is constructed such that a first processing gas in a radical state is introduced into the vacuum processing tank and is guided to a semiconductor wafer; and a second gas introduction section that is constructed such that a second processing gas that reacts with the first processing gas is introduced into the vacuum processing tank and is guided to the semiconductor wafer. The second gas introduction section has two shower nozzles provided at positions on either side of an introduction pipe provided for the first gas introduction section. According to this vacuum processing apparatus, high speed processing of a number of processing objects can be achieved. Moreover, the in-plane uniformity of the processing objects after processing can be ensured.
    Type: Grant
    Filed: January 10, 2005
    Date of Patent: January 29, 2013
    Assignees: Samsung Electronics Co., Ltd, Ulvac, Inc.
    Inventors: Kwang-Myung Lee, Ki-Young Yun, Il-Kyoung Kim, Sung-Wook Park, Seung-Ki Chae, No-Hyun Huh, Jae-Wook Kim, Jae-Hyuck An, Woo-Seok Kim, Myeong-Jin Kim, Kyoung-Ho Jang, Shinji Yanagisawa, Kengo Tsutsumi, Seiichi Takahashi
  • Patent number: 8361234
    Abstract: A substrate treatment apparatus includes a substrate holding unit, a gas ejection nozzle, and a gas supply unit. The substrate holding unit is configured to hold a substrate. The gas supply unit is configured to supply a gas to the gas ejection nozzle. The gas ejection nozzle is disposed to be positioned adjacent a center portion of the substrate held by the substrate holding unit. The gas ejection nozzle has a gas ejection port. The gas ejection nozzle is configured to eject the gas radially from the gas ejection port over the substrate held by the substrate holding unit to form a gas-flow for covering the substrate.
    Type: Grant
    Filed: October 29, 2009
    Date of Patent: January 29, 2013
    Assignee: Dainippon Screen Mfg. Co., Ltd.
    Inventors: Takashi Izuta, Hiroaki Ishii, Asuka Yoshizumi
  • Publication number: 20130014895
    Abstract: A substrate processing apparatus can suppress an edge gas from being diffused toward a center region of a substrate. An upper electrode 200 serving as a gas introducing unit configured to supply one kind of gas or different kinds of gases to a center region and an edge region of the substrate includes a center gas inlet section 204 having a multiple number of gas holes 212 for a center gas; and an edge gas inlet section 206 having a multiplicity of gas holes 214 for an edge gas. By providing a gas hole formation plate 230 having gas holes 232 communicating with the gas holes 214 at a bottom surface of the edge gas inlet section 206, a vertical position of edge gas discharging openings can be adjusted.
    Type: Application
    Filed: July 6, 2012
    Publication date: January 17, 2013
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Masaya Kawamata, Masanobu Honda, Kazuhiro Kubota
  • Publication number: 20130008870
    Abstract: To make an arrangement so as not to give any damage to the central part of a substrate during the operation for removing unnecessary film coated on the outer peripheral part of the substrate. The stage is provided therein with a refrigerant chamber 41 as a heat absorber and a refrigerant such as water is filled in the chamber. A wafer 90 is contacted with and supported on the support surface 10a of the stage 10. A reactive gas for removing unnecessary film is supplied the outer periphery of the wafer 90 through a reactive gas jet port 30b while heating the outer periphery of the wafer 90. On the other hand, the area inside the outer peripheral part of the wafer 90 is heat-absorbed by the heat absorber.
    Type: Application
    Filed: September 14, 2012
    Publication date: January 10, 2013
    Applicant: SEKISUI CHEMICAL CO., LTD.
    Inventors: Mitsuhide NOGAMI, Taira HASEGAWA, Syunsuke KUNUGI
  • Patent number: 8349403
    Abstract: A vapor-phase process apparatus and a vapor-phase process method capable of satisfactorily maintaining quality of processes even when different types of processes are performed are obtained. A vapor-phase process apparatus includes a process chamber, gas supply ports serving as a plurality of gas introduction portions, and a gas supply portion (a gas supply member, a pipe, a flow rate control device, a pipe, and a buffer chamber). The process chamber allows flow of a reaction gas therein. The plurality of gas supply ports are formed in a wall surface (upper wall) of the process chamber along a direction of flow of the reaction gas. The gas supply portion can supply a gas into the process chamber at a different flow rate from each of one gas supply port and another gas supply port different from that one gas supply port among the plurality of gas supply ports.
    Type: Grant
    Filed: September 13, 2011
    Date of Patent: January 8, 2013
    Assignee: Sumitomo Electric Industries, Ltd.
    Inventors: Eiryo Takasuka, Toshio Ueda, Toshiyuki Kuramoto, Masaki Ueno
  • Patent number: 8349084
    Abstract: An apparatus for sequential deposition of an intermixed thin film layer and a sublimated source material on a photovoltaic (PV) module substrate is provided, along with associated processes. The process can include introducing a substrate into a deposition chamber, wherein a window layer (e.g., a cadmium sulfide layer) is on a surface of the substrate. A sulfur-containing gas can be supplied to the deposition chamber. In addition, a source vapor can be supplied to the deposition chamber, wherein the source material comprises cadmium telluride. The sulfur-containing gas and the source vapor can be present within the deposition chamber to form an intermixed layer on the window layer. In one particular embodiment, for example, the intermixed layer generally can have an increasing tellurium concentration and decreasing sulfur concentration extending away from the window layer.
    Type: Grant
    Filed: April 5, 2011
    Date of Patent: January 8, 2013
    Assignee: General Electric Company
    Inventors: James Neil Johnson, Bastiaan Arie Korevaar, Yu Zhao
  • Patent number: 8349126
    Abstract: An apparatus for etching an edge of a wafer includes a chamber, a chuck disposed inside the chamber upon which the wafer is disposed, a plate spaced apart from the wafer and disposed above the wafer, and an edge ring formed along the edge of the wafer and combined with an outer periphery of the plate, wherein the edge ring comprises a ring base spaced a distance apart from the wafer to form a parallel plane with respect to the wafer, and a first ring protrusion protruding from the ring base to insulate the edge of the wafer from a central region of the wafer.
    Type: Grant
    Filed: February 23, 2010
    Date of Patent: January 8, 2013
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Chong-Kwang Chang, Oh-Sang Cho, In-Keun Lee, Hyo-Jeong Kim
  • Patent number: 8349081
    Abstract: A gas distributor for a CVD or OVPD reactor comprises two or more gas volumes (1, 2) into each of which opens a feed pipe (3, 4) for a process gas, each gas volume (1, 2) being connected to a plurality of corresponding process gas outlets (6, 7) which open into the bottom (5) of the gas distributor. In order to increase the homogeneity of the gas composition, the two gas volumes (1, 2) comprise pre-chambers (10, 10?, 11) located in a first common plane (8) and a plurality of gas distribution chambers (12, 13) each associated with a gas volume are provided in a second plane (9?) adjacent to the bottom of the gas distributor. The pre-chambers (10, 10?, 11) and gas distribution chambers (12, 13) associated with each gas volume (1, 2) are connected with connection channels (14, 15).
    Type: Grant
    Filed: January 5, 2006
    Date of Patent: January 8, 2013
    Assignee: Aixtron SE
    Inventors: Markus Reinhold, Peter Baumann, Gerhard Karl Strauch
  • Patent number: 8349083
    Abstract: A vapor-phase process apparatus and a vapor-phase process method capable of satisfactorily maintaining quality of processes even when different types of processes are performed are obtained. A vapor-phase process apparatus includes a process chamber, gas supply ports serving as a plurality of gas introduction portions, and a gas supply portion (a gas supply member, a pipe, a flow rate control device, a pipe, and a buffer chamber). The process chamber allows flow of a reaction gas therein. The plurality of gas supply ports are formed in a wall surface (upper wall) of the process chamber along a direction of flow of the reaction gas. The gas supply portion can supply a gas into the process chamber at a different flow rate from each of one gas supply port and another gas supply port different from that one gas supply port among the plurality of gas supply ports.
    Type: Grant
    Filed: October 11, 2011
    Date of Patent: January 8, 2013
    Assignee: Sumitomo Electric Industries, Ltd.
    Inventors: Eiryo Takasuka, Toshio Ueda, Toshiyuki Kuramoto, Masaki Ueno
  • Publication number: 20130000847
    Abstract: There is provided a plasma processing apparatus enabling uniform plasma processing over the entire surface of a sample, without causing abnormal discharge even when the electromagnetic field strength is strong as in the case of the inductive coupling method. The plasma processing apparatus includes a process chamber, a first dielectric vacuum window, an inductive coil, a radio-frequency power supply, a gas supply unit, and a sample holder. The gas supply unit includes a second dielectric gas guide plate and a third dielectric island member. The second dielectric gas guide plate is located near below the vacuum window, and has a gas inlet port in the center. The third dielectric island member is provided in a gap between the vacuum window and the gas guide plate. The dielectric constant of the third dielectric is higher than the dielectric constant of the first and second dielectrics.
    Type: Application
    Filed: August 15, 2011
    Publication date: January 3, 2013
    Inventors: Tsutomu TETSUKA, Ryoji NISHIO
  • Patent number: 8334215
    Abstract: A substrate can be appropriately oxidized, while oxidation of the substrate can be suppressed. The present invention includes a step of generating mixed plasma by causing a mixed gas of hydrogen (H2) gas and oxygen(O2) or oxygen/containing gas supplied to a processing chamber to form a plasma discharge, and processing the starting substrate by the mixed plasma; and a step of generating hydrogen plasma by causing hydrogen (H2) gas supplied to the processing chamber to form a plasma discharge, and processing the substrate by the hydrogen plasma.
    Type: Grant
    Filed: February 4, 2009
    Date of Patent: December 18, 2012
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Tatsushi Ueda, Tadashi Terasaki, Unryu Ogawa, Akito Hirano
  • Patent number: 8328939
    Abstract: The present invention generally comprises a diffuser plate for a PECVD chamber. The diffuser plate comprises a plurality of hollow cathode cavities. The edge of the diffuser plate that will reside closest to a slit valve within a processing chamber may have the shape and/or size of the hollow cathode cavities adjusted to compensate for the proximity to the slit valve. By adjusting the shape and/or size of the hollow cathode cavities closest to the slit valve, the diffuser plate may permit a uniform plasma distribution across the processing chamber and thus, a uniform film thickness upon a substrate during a PECVD process.
    Type: Grant
    Filed: July 20, 2007
    Date of Patent: December 11, 2012
    Assignee: Applied Materials, Inc.
    Inventors: Soo Young Choi, John M. White
  • Patent number: 8328982
    Abstract: Devices and methods for generating a low-temperature, atmospheric pressure plasma are disclosed. A plasma device may include a first electrode having an inlet for a gas, a second electrode having an outlet for the gas and disposed proximate to the first electrode to form a substantially uniform gap therebetween. The gas flows along the substantially uniform gap and from a plurality of different directions to converge and flow through the outlet. High frequency electrical power is applied between the first electrode and the second electrode to generate a plasma within the gas flow emerging at the outlet. Precursor chemicals are added to the plasma flow at the outlet. Various electrode designs may be implemented and various applications involving surface treatment of materials with the low-temperature atmospheric plasma, including surface activation, cleaning, sterilization, etching and deposition of thin films are disclosed.
    Type: Grant
    Filed: September 18, 2006
    Date of Patent: December 11, 2012
    Assignee: Surfx Technologies LLC
    Inventors: Steve Babayan, Robert Hicks
  • Publication number: 20120298133
    Abstract: A device to provide improved anti-smudging, better gripping and longer shelf-life to products and surfaces includes an electric superheated steam generator and an electric low-ion plasma generator to provide superheated steam and low-ion plasma to the surfaces of products including plastics. One embodiment envisions the superheated steam generator and the low-ion plasma generator being contained in a housing while another embodiment anticipates a conveyor means positioned in front of the superheated steam generator and the low-ion plasma generator. A method for the improving of anti-smudging, gripping and shelf-life for properties includes the application of superheated steam and low-ion plasma by means of a superheated steam generator and a low-ion plasma generator to products for specific periods of time and at specific distances to attain desired surface and bulk properties. The superheated steam and low-ion plasma may be applied individually, simultaneously or sequentially.
    Type: Application
    Filed: September 20, 2010
    Publication date: November 29, 2012
    Inventors: Venkata Burada, Jainagesh Sekhar, Jerod Batt, G.S. Reddy, Brian Kandell
  • Patent number: 8317922
    Abstract: A gas injection unit and a thin film deposition apparatus having the gas injection unit are provided. Since a variety of different kinds of organic materials can be sequentially vaporized and injected by a single injection unit, a variety of different kinds of thin films can be deposited in a single chamber. Furthermore, the gas injection structure of the injector unit can be easily controlled. Therefore, even when the process conditions such as the size of the substrate, the process temperature of the chamber, and the like are altered, it becomes possible to actively response to the altered process conditions by simply replacing some parts without replacing the whole injector unit.
    Type: Grant
    Filed: December 27, 2008
    Date of Patent: November 27, 2012
    Assignee: Jusung Engnineering Co., Ltd.
    Inventors: Chang Jae Lee, Young-Ho Kwon
  • Patent number: 8318035
    Abstract: Methods of surface finishing a component useful for a plasma processing apparatus are provided. The component includes at least one plasma-exposed quartz glass surface. The method includes mechanically polishing, chemically etching and cleaning the plasma-exposed surface to achieve a desired surface morphology. Quartz glass sealing surfaces of the component also can be finished by the methods. Plasma-exposed surface and sealing surfaces of the same component can be finished to different surface morphologies from each other.
    Type: Grant
    Filed: June 22, 2007
    Date of Patent: November 27, 2012
    Assignee: Lam Research Corporation
    Inventors: Mark W. Kiehlbauch, John E. Daugherty
  • Patent number: 8313611
    Abstract: A gas switching system for a gas distribution system for supplying different gas compositions to a chamber, such as a plasma processing chamber of a plasma processing apparatus, is provided. The chamber can include multiple zones, and the gas switching section can supply different gases to the multiple zones. The switching section can switch the flows of one or more gases, such that one gas can be supplied to the chamber while another gas can be supplied to a by-pass line, and then switch the gas flows.
    Type: Grant
    Filed: December 5, 2011
    Date of Patent: November 20, 2012
    Assignee: Lam Research Corporation
    Inventor: Dean J. Larson
  • Patent number: 8313805
    Abstract: An electrode assembly for a plasma reaction chamber used in semiconductor substrate processing. The assembly includes an upper showerhead electrode which includes an inner electrode mechanically attached to a backing plate by a clamp ring and an outer electrode attached to the backing plate by a series of spaced apart cam locks. A guard ring surrounds the backing plate and is movable to positions at which openings in the guard ring align with openings in the backing plate so that the cam locks can be rotated with a tool to release cam pins extending upward from the upper face of the outer electrode. To compensate for differential thermal expansion, the clamp ring can include expansion joins at spaced locations which allow the clamp ring to absorb thermal stresses.
    Type: Grant
    Filed: March 16, 2012
    Date of Patent: November 20, 2012
    Assignee: Lam Research Corporation
    Inventors: Babak Kadkhodayan, Rajinder Dhindsa, Anthony de la Llera, Michael C. Kellogg
  • Publication number: 20120289053
    Abstract: A semiconductor substrate processing system includes a substrate support defined to support a substrate in exposure to a processing region. The system also includes a first plasma chamber defined to generate a first plasma and supply reactive constituents of the first plasma to the processing region. The system also includes a second plasma chamber defined to generate a second plasma and supply reactive constituents of the second plasma to the processing region. The first and second plasma chambers are defined to be independently controlled.
    Type: Application
    Filed: May 10, 2011
    Publication date: November 15, 2012
    Applicant: Lam Research Corporation
    Inventors: John Patrick Holland, Peter L.G. Ventzek, Harmeet Singh, Richard Gottscho
  • Patent number: 8308865
    Abstract: A showerhead for chemical vapor deposition (CVD) includes a head storing reaction gas flowing thereinto and feeding the stored reaction gas to a reaction chamber, and at least one support member passing through and coupled with the head and the reaction chamber so as to support the head.
    Type: Grant
    Filed: October 9, 2008
    Date of Patent: November 13, 2012
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Changsung Sean Kim, Jong Pa Hong, Kyung Ho Lee
  • Patent number: 8307781
    Abstract: This surface wave excitation plasma CVD system, along with feeding a material gas including silicon element by feeding the material gas into a chamber 1 from at least one of an upper surface gas introduction conduit and a side surface gas introduction conduit, also activates the material gas with a surface wave excitation plasma and feeds a process gas which initiates chemical reactions within the material gas into the chamber 1 from a process gas introduction conduit 5. A gas feed aperture of the upper surface gas introduction conduit and/or the side surface gas introduction conduit is provided in a position which is closer to the substrate than the gas feed aperture of the process gas introduction conduit.
    Type: Grant
    Filed: November 1, 2004
    Date of Patent: November 13, 2012
    Assignee: Shimadzu Corporation
    Inventor: Masayasu Suzuki
  • Publication number: 20120279943
    Abstract: A method and apparatus for processing a substrate is provided. In one embodiment, the apparatus is in the form of a processing chamber that includes a chamber body having a processing volume defined therein. A substrate support, a gas delivery tube assembly and a plasma line source are disposed in the processing volume. The gas delivery tube assembly includes an inner tube is disposed in an outer tube. The inner tube has a passage for flowing a cooling fluid therein. The outer tube has a plurality of gas distribution apertures for providing processing gas into the processing volume.
    Type: Application
    Filed: May 3, 2012
    Publication date: November 8, 2012
    Applicant: Applied Materials, Inc.
    Inventors: Helinda Nominanda, Tae Kyung Won, Seon-Mee Cho, Beom Soo Park, Soo Young Choi
  • Patent number: 8303713
    Abstract: A flow inlet element (22) for a chemical vapor deposition reactor (10) is formed from a plurality of elongated tubular elements (64, 65) extending side-by-side with one another in a plane transverse to the upstream to downstream direction of the reactor. The tubular elements have inlets for ejecting gas in the downstream direction. A wafer carrier (14) rotates around an upstream to downstream axis. The gas distribution elements may provide a pattern of gas distribution which is asymmetrical with respect to a medial plane (108) extending through the axis.
    Type: Grant
    Filed: December 4, 2009
    Date of Patent: November 6, 2012
    Assignee: Veeco Instruments Inc.
    Inventors: Mikhail Belousov, Bojan Mitrovic, Keng Moy
  • Patent number: 8303712
    Abstract: In a substrate processing apparatus, a process vessel is configured to accommodate and process a substrate held at a horizontal position. A gas introduction port is installed at a periphery of a first side of the process vessel and configured to introduce gas into the process vessel from a lateral direction of the substrate. A gas exhaust port is installed at a second side of the process vessel which is opposite to the first side, and is configured to exhaust gas inside the process vessel from a lateral direction of the substrate. A slope part is installed between the gas introduction port and the gas exhaust port inside the process vessel, and is configured to guide a flow path of the gas introduced into the process vessel.
    Type: Grant
    Filed: January 30, 2009
    Date of Patent: November 6, 2012
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Seiyo Nakashima, Tomoyuki Yamada, Masakazu Shimada
  • Publication number: 20120276746
    Abstract: A manufacturing method for a semiconductor device includes: arranging a plurality of silicon substrates having a sacrifice layer in a reaction chamber in such a manner that surfaces of silicon substrates face each other; introducing an etching gas into the reaction chamber; reacting the etching gas and the sacrifice layer in each silicon substrate so that the sacrifice layer is dry-etched; and arranging a partition member in the reaction chamber to partition a predetermined range between adjacent silicon substrates. The partition member has a property in such a manner that a water molecule hardly penetrates the partition member. The water molecule is a reaction product between the etching gas and the sacrifice layer.
    Type: Application
    Filed: April 27, 2011
    Publication date: November 1, 2012
    Applicant: DENSO CORPORATION
    Inventors: Yuji HIKIDA, Kouichi Miyashita
  • Publication number: 20120273134
    Abstract: A plasma processing chamber configured for cleaning a bevel edge of a substrate is provided. The chamber includes a top edge electrode surrounding an insulating plate, and the insulator plate opposes a bottom electrode. The top edge electrode is electrically grounded and separated from the insulator plate by a top dielectric ring. The chamber also includes a bottom edge electrode that is electrically grounded and surrounds the bottom electrode and is separated from the bottom electrode by a bottom dielectric ring. The bottom edge electrode is oriented to oppose the top edge electrode, and the bottom edge electrode has an L shape that is up-facing. Bevel edge plasma processing of a substrate edge is configured to be processed in a chamber having the top and bottom edge electrodes.
    Type: Application
    Filed: July 12, 2012
    Publication date: November 1, 2012
    Applicant: Lam Research Corporation
    Inventors: Gregory S. Sexton, Andrew D. Bailey, III, Andras Kuthi
  • Publication number: 20120273462
    Abstract: An etching device is provided, the etching device including a process chamber including an etchant, a structure configured to provide a laminar flow of the etchant, and a workpiece handler configured to move a workpiece through the laminar flow of the etchant along a predefined track.
    Type: Application
    Filed: April 28, 2011
    Publication date: November 1, 2012
    Applicant: INFINEON TECHNOLOGIES AG
    Inventors: Thomas Fischer, Raimund Foerg, Sebastian Bernrieder, Michael Larisch
  • Patent number: 8298336
    Abstract: A gas chamber contains upper and lower chamber bodies forming a cavity, a heating chuck for a wafer, a remote gas source, and an exhaust unit. Gas is injected into the cavity through channels in an injector. Each channel has sections that are bent with respect to each other at a sufficient angle to substantially eliminate entering light rays entering the channel from exiting the channel without reflection. The channels have funnel-shaped nozzles at end points proximate to the chuck. The injector also has thermal expansion relief slots and small gaps between the injector and mating surfaces of the chamber and gas source. The temperature of the injector is controlled by a cooling liquid in cooling channels and electrical heaters in receptacles of the injector. The upper chamber body is funnel-shaped and curves downward at an end of the upper chamber body proximate to the chuck.
    Type: Grant
    Filed: April 1, 2005
    Date of Patent: October 30, 2012
    Assignee: Lam Research Corporation
    Inventors: Ing-Yann Wang, Jaroslaw W. Winniczek, David J. Cooperberg, Erik A. Edelberg, Robert P. Chebi
  • Patent number: 8298386
    Abstract: A gas-inputting device for a vacuum sputtering apparatus includes at least one tapered tube. Each tapered tube includes a open end, a closed end, and a conical surface. The small end is configured for introducing gas into the tapered tube. The large end opposes to the open end. Each of the at least one tapered tube tapers from the closed end to the open end. The conical surface connects the open end to the closed end. A plurality of gas holes of a same size are defined in the conical surface and equidistantly arranged along the center axis of the tapered tube from the open end to the closed end.
    Type: Grant
    Filed: March 24, 2010
    Date of Patent: October 30, 2012
    Assignee: Hon Hai Precision Industry Co., Ltd.
    Inventors: Chung-Pei Wang, Chia-Ying Wu
  • Patent number: 8298337
    Abstract: The invention relates to a gas inlet element (2) for a CVD reactor with a chamber (4), which has a multitude of bottom-side outlet openings (23), via which a process gas introduced into the chamber (4) via edge-side access openings (10) exits into a process chamber (21) of the CVD reactor (1). In order to homogenize the gas composition, the invention provides that at least one mixing chamber arrangement (11, 12, 13) is situated upstream from the access openings (10), and at least two process gases are mixed with one another inside this mixing chamber arrangement.
    Type: Grant
    Filed: January 5, 2006
    Date of Patent: October 30, 2012
    Assignee: Aixtron, Inc.
    Inventors: Markus Reinhold, Peter Baumann, Gerhard Karl Strauch
  • Patent number: 8297223
    Abstract: This disclosure pertains to a method and apparatus to permit changing a filter on the input line to a vacuum deposition chamber without breaking or reducing the vacuum for the deposition chamber and other components in the deposition system. Isolation valves are provided at the inlet and outlet of the filter so the filter can be isolated from the source of vacuum and the deposition chamber for removal and replacement of the filter.
    Type: Grant
    Filed: September 22, 2008
    Date of Patent: October 30, 2012
    Assignee: MSP Corporation
    Inventors: Benjamin Liu, Yamin Ma, Thuc Dinh
  • Patent number: 8298338
    Abstract: Provided is a chemical vapor deposition apparatus. The apparatus includes a reaction chamber, a gas introduction unit, and a gas exhaust unit. The reaction chamber includes a susceptor on which a wafer is loaded and a reaction furnace in which the wafer is processed by chemical vapor deposition. The gas introduction unit is disposed at an outer wall of the reaction chamber to supply reaction gas from an outside of the reaction furnace to a center portion of the reaction furnace. The gas exhaust unit is disposed at a center portion of the reaction chamber to discharge the reaction gas to an upper or lower outside of the reaction chamber after the reaction gas is used for a reaction in the reaction furnace. Therefore, the gas density inside the chamber can be kept at a substantially uniform state even when process pressure is increased for growing a high-temperature deposition layer.
    Type: Grant
    Filed: October 28, 2008
    Date of Patent: October 30, 2012
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Changsung Sean Kim, Sang Duk Yoo, Jong Pa Hong, Ji Hye Shim, Won Shin Lee
  • Publication number: 20120267048
    Abstract: A plasma processing apparatus includes a processing chamber, a stage, a dielectric member, a microwave introduction device, an injector, and an electric field shield. The processing chamber has a processing space therein. The stage is provided within the processing chamber. The dielectric member has a through hole and is provided to face the stage. The microwave introduction device is configured to introduce microwave into the processing space via the dielectric member. The injector has at least one through hole and is made of a dielectric material, e.g., a bulk dielectric material. The injector is provided within the dielectric member. The injector and the through hole of the dielectric member form a path for supplying a processing gas into the processing space. The electric field shield encloses the injector.
    Type: Application
    Filed: April 24, 2012
    Publication date: October 25, 2012
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Kazuki Moyama, Kiyotaka Ishibashi, Osamu Morita, Takehiro Tanikawa, Naoki Matsumoto, Naoki Mihara, Wataru Yoshikawa
  • Patent number: 8293014
    Abstract: There are provided a substrate processing apparatus and a reaction tube for processing a substrate. The substrate processing apparatus comprises a process chamber configured to accommodate a substrate and process the substrate, a heater configured to heat the substrate, a gas supply part configured to supply a gas to an inside of the process chamber, a quartz reaction tube installed in the alloy reaction tube and a purge gas supply part configured to supply a purge gas to a gap formed between the alloy reaction tube and the quartz reaction tube. The process chamber comprises an alloy reaction tube made of a material comprising at least molybdenum (Mo) and cobalt (Co) and excluding aluminum (Al).
    Type: Grant
    Filed: December 22, 2009
    Date of Patent: October 23, 2012
    Assignee: Hitachi Kokusai Electric Inc.
    Inventor: Harushige Kurokawa
  • Patent number: 8291857
    Abstract: Embodiments of the invention provide apparatuses and methods for atomic layer deposition (ALD), such as plasma-enhanced ALD (PE-ALD). In some embodiments, a PE-ALD chamber is provided which includes a chamber lid assembly coupled with a chamber body having a substrate support therein. In one embodiment, the chamber lid assembly has an inlet manifold assembly containing an annular channel encompassing a centralized channel, wherein the centralized channel extends through the inlet manifold assembly, and the inlet manifold assembly further contains injection holes extending from the annular channel, through a sidewall of the centralized channel, and to the centralized channel.
    Type: Grant
    Filed: June 30, 2009
    Date of Patent: October 23, 2012
    Assignee: Applied Materials, Inc.
    Inventors: Hyman Lam, Bo Zheng, Hua Ai, Michael Jackson, Xiaoxiong (John) Yuan, Hou Gong Wang, Salvador P. Umotoy, Sang Ho Yu
  • Patent number: 8293013
    Abstract: An apparatus for deploying two fluids separately into a reaction chamber is provided. The apparatus includes a first distribution network that is formed on a plate having a distribution face and a dispensing face. The first distribution network is defined by a plurality of recessed channels on the distribution face. The plurality of recessed channels includes a plurality of thru-ports that extend from the plurality of recessed channels to the dispensing face. The apparatus further includes a second distribution network that has passages formed below the plurality of recessed channels and above the dispensing face. A first set of ports extends from the passages to the distribution face and a second set of ports extends from a top surface of the distribution face to the dispensing face.
    Type: Grant
    Filed: December 30, 2008
    Date of Patent: October 23, 2012
    Assignee: Intermolecular, Inc.
    Inventor: Jay Brian DeDontney
  • Patent number: 8293015
    Abstract: Embodiments of the invention provide apparatuses and methods for atomic layer deposition (ALD), such as plasma-enhanced ALD (PE-ALD). In some embodiments, a PE-ALD chamber is provided which includes a chamber lid assembly coupled with a chamber body having a substrate support therein. In one embodiment, the chamber lid assembly has an inlet manifold assembly containing an annular channel encompassing a centralized channel, wherein the centralized channel extends through the inlet manifold assembly, and the inlet manifold assembly further contains injection holes extending from the annular channel, through a sidewall of the centralized channel, and to the centralized channel.
    Type: Grant
    Filed: September 14, 2011
    Date of Patent: October 23, 2012
    Assignee: Applied Materials, Inc.
    Inventors: Hyman W. H. Lam, Bo Zheng, Hua Ai, Michael Jackson, Xiaoxiong Yuan, Hougong Wang, Salvador P. Umotoy, Sang Ho Yu
  • Patent number: 8287646
    Abstract: An MOCVD reactor such as a rotating disc reactor (10) is equipped with a gas injector head having diffusers (129) disposed between adjacent gas inlets. The diffusers taper in the downstream direction. The injector head desirably has inlets (117) for a first gas such as a metal alkyl disposed in radial rows which terminate radially inward from the reactor wall to minimize deposition of the reactants on the reactor wall. The injector head desirably also has inlets (125) for a second gas such as ammonia arranged in a field between the rows of first gas inlets, and additionally has a center inlet (135) for the second gas coaxial with the axis of rotation.
    Type: Grant
    Filed: November 22, 2010
    Date of Patent: October 16, 2012
    Assignee: Veeco Instruments Inc.
    Inventors: Bojan Mitrovic, Alex Gurary, Eric A. Armour
  • Publication number: 20120255932
    Abstract: A nanofabrication device in an example includes a conducting nanotip and a gas microchannel adjacent to the nanotip and configured to deliver a gas to the nanotip. The nanofabrication device can be used for controlled and localized etching and/or deposition of material from a substrate.
    Type: Application
    Filed: September 29, 2011
    Publication date: October 11, 2012
    Inventors: Massood Tabib-Azar, Carlos H. Mastrangelo
  • Publication number: 20120255678
    Abstract: A hollow cathode system is provided for plasma generation in substrate plasma processing. The system includes a plurality of electrically conductive plates stacked in a layered manner. Dielectric sheets are disposed between each adjacently positioned pair of the plurality of electrically conductive plates. A number of holes are each formed to extend through the plurality of electrically conductive plates and dielectric sheets. The system also includes at least two independently controllable radiofrequency (RF) power sources electrically connected to one or more of the plurality of electrically conductive plates. The RF power sources are independently controllable with regard to frequency and amplitude.
    Type: Application
    Filed: April 11, 2011
    Publication date: October 11, 2012
    Applicant: Lam Research Corporation
    Inventors: John Patrick Holland, Peter L. G. Ventzek
  • Patent number: 8282768
    Abstract: An apparatus for purging a space in a processing chamber comprises a source of a purge gas; an inlet portion of a purge ring; an inlet baffle located in the inlet portion and fluidically connected to the source of purge gas; and an exhaust portion of the purge ring. The inlet portion and the exhaust portion define a ring hole space having a 360° periphery. The inlet baffle preferably surrounds not less than 180° of said periphery. The inlet baffle is operable to convey purge gas into the ring hole space. The exhaust portion is operable to convey purge gas and other matter out of the ring hole space. Cleaning of the purge ring and other structures in a processing chamber is conducted by flowing a cleaning gas through the inlet baffle. Methods and systems using a purge ring are particularly useful for purging and cleaning porogens from a UV curing chamber. Some embodiments include a gas inlet plenum and an exhaust channel but not a purge ring.
    Type: Grant
    Filed: September 18, 2009
    Date of Patent: October 9, 2012
    Assignee: Novellus Systems, Inc.
    Inventors: Eugene Smargiassi, Stephen Yu-Hong Lau, George D. Kamian, Ming Xi
  • Patent number: 8282735
    Abstract: A reactor configured to subject a substrate to alternately repeated surface reactions of vapor-phase reactants is disclosed. In one embodiment, the reactor includes a reaction chamber that defines a reaction space; one or more inlets; an exhaust outlet; a gas flow control guide structure; and a substrate holder. The gas flow control guide includes one or more channels, each of which extends from a respective one of the one or more inlets to a first portion of a periphery of the reaction space. Each of the channels widens as the channel extends from the inlet to the reaction space. At least one of the channels is configured to generate a non-uniform laminar flow at the first portion of the periphery of the reaction space such that the laminar flow includes a plurality of flow paths that provide different amounts of a fluid.
    Type: Grant
    Filed: November 26, 2008
    Date of Patent: October 9, 2012
    Assignee: ASM Genitech Korea Ltd.
    Inventors: Seung Woo Choi, Gwang Lae Park, Chun Soo Lee, Jeong Ho Lee, Young Seok Choi
  • Patent number: 8282769
    Abstract: A shower head is provided, in a processing chamber in which a substrate is processed, to face a mounting table for mounting the substrate thereon. The shower head includes: a facing surface that faces the mounting table to supply a gas to the substrate in a form of shower through a plurality of gas injection holes formed on the facing surface; an opposing surface provided opposite to the facing surface; and a plurality of bar-shaped heat transfer columns standing on the opposing surface. Here, the heat transfer columns have varying lengths and/or thicknesses to adjust heat capacities thereof. The heat transfer columns are made of one of aluminum, stainless steel, and copper.
    Type: Grant
    Filed: March 8, 2010
    Date of Patent: October 9, 2012
    Assignee: Tokyo Electron Limited
    Inventor: Hachishiro Iizuka
  • Patent number: 8281741
    Abstract: A plasma deposition apparatus is provided. The plasma deposition apparatus comprises a chamber. A pedestal is placed in the chamber. A plasma generator is placed in the chamber and over the pedestal. The plasma generator comprises a plasma jet for plasma thin film deposition having a discharge direction angle ?1 larger than 0° and less than 90° between a normal direction of the pedestal and the discharge direction of the plasma jet. A gas-extracting pipe extends into the chamber and over the pedestal. The gas-extracting pipe provides a pumping path for particles and side-products having a pumping direction angle ?2 larger than 0° and less than 90° between the normal direction of the pedestal and the pumping direction of the gas-extracting pipe. The chamber is kept at an ambient atmospheric pressure.
    Type: Grant
    Filed: February 1, 2011
    Date of Patent: October 9, 2012
    Assignee: Industrial Technology Research Institute
    Inventors: Chia-Chiang Chang, Chin-Jyi Wu, Shin-Chih Liaw, Chun-Hung Lin
  • Publication number: 20120247673
    Abstract: An electrode having a gas discharge function, where the degree of freedom related to a maximum gas flow rate is abundant, an electrode cover member may be thinned, and a change of a gas behavior according to time is difficult to be generated in a processing chamber during gas introduction. The electrode includes: a base material having a plurality of gas holes; and an electrode cover member having a plurality of gas holes respectively corresponding to the plurality of gas holes of the base material in a one-to-one manner, fixed to the base material, and disposed facing a processing space in which the object is plasma-processed, wherein a gas hole diameter of the electrode cover member is larger than a gas hole diameter of the base material.
    Type: Application
    Filed: March 30, 2012
    Publication date: October 4, 2012
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Daisuke HAYASHI, Toshifumi ISHIDA, Norihiko AMIKURA
  • Publication number: 20120252220
    Abstract: A substrate supporting member provided in a processing chamber for processing the substrate and configured to support the substrate, has on its upper surface, a protruding area that supports an edge side of the substrate from below; a recessed area provided inside of the protruding area so as not to be brought into contact with the substrate supported by the protruding area; and an auxiliary protruding area formed lower than the protruding area and provided in the recessed area, and has a flow passage that is communicated with inside of the recessed area, for escaping gas between the substrate and the substrate supporting member from the recessed area side.
    Type: Application
    Filed: March 22, 2012
    Publication date: October 4, 2012
    Applicant: HITACHI KOKUSAI ELECTRIC INC.
    Inventors: Koichiro HARADA, Noriaki MICHITA, Tatsushi UEDA, Takayuki SATO
  • Publication number: 20120247674
    Abstract: Embodiments of a plasma generator apparatus for ashing a work piece are provided. The apparatus includes a container adapted for continuous gas flow there through from an inlet end to an outlet end thereof. The container is fabricated of a dielectric material and adapted for ionization therein of a portion of at least one component of gas flowing therethrough. A gas flow distributor is configured to direct gas flow to a region within the container and a coil surrounds at least a portion of side walls of the container adjacent the region of the container to which the gas flow distributor directs gas flow. A radio frequency generator is coupled to the coil.
    Type: Application
    Filed: June 11, 2012
    Publication date: October 4, 2012
    Inventors: James A. Fair, Vincent Decaux, Anirban Guha, David Cheung, John Keller, Peter Jagusch
  • Publication number: 20120247672
    Abstract: In a plasma processing apparatus, a ceiling electrode plate provided to face a substrate holding stage via a process space contacts and is supported by an electrode support by interposing a cooling plate, and a heat-transfer sheet is provided in a contact surface between the ceiling electrode plate and the cooling plate. The heat-transfer sheet has thermal conductivity of 0.5 to 2.0 W/m·K. The heat-transfer sheet is provided of a heat-resistant adhesive agent or a rubber including silicon, or the heat-transfer sheet is formed of a ceramic filler including oxide, nitride, or carbide. The ceramic filler of 25 to 60 volume % is contained in the heat-resistant adhesive agent or the rubber. A thickness of the heat-transfer sheet is in a range between 30 and 80 ?m, and the heat-transfer sheet is not provided in a predetermined area around gas holes of the ceiling electrode plate.
    Type: Application
    Filed: March 30, 2012
    Publication date: October 4, 2012
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: Yoshiyuki KOBAYASHI
  • Patent number: 8277560
    Abstract: A CVD apparatus cleaning method that efficiently removes by-product such as SiO2 or Si3N4 adhered to and deposited on surfaces of an inner wall, an electrode, and the like in a reaction chamber at a film forming step. In the cleaning method the discharged cleaning gas amount is very small, environmental influences such as global warming can be lessened, and cost can be reduced. A CVD apparatus supplying reactive gas into a reaction chamber and forming a deposited film on a surface of a base material provided in the reaction chamber includes an exhaust gas recycling path recycling an exhaust gas reaching the reaction chamber from downstream of a pump on an exhaust path for exhausting a gas from an inner part of the reaction chamber through the pump.
    Type: Grant
    Filed: March 19, 2003
    Date of Patent: October 2, 2012
    Assignees: National Institute of Advanced Industrial Science and Technology, Canon Anelva Corporation, Ulvac, Inc., Kanto Denka Kogyo Co., Ltd., Sanyo Electric Co., Ltd., Showa Denko K.K., Sony Corporation, Tokyo Eectron Limited, Hitachi Kokusai Electric Inc., Panasonic Corporation, Mitsubishi Denki Kabushiki Kaisha, Renesas Electronics Corporation
    Inventors: Katsuo Sakai, Seiji Okura, Masaji Sakamura, Kaoru Abe, Hitoshi Murata, Etsuo Wani, Kenji Kameda, Yuki Mitsui, Yutaka Ohira, Taisuke Yonemura, Akira Sekiya
  • Patent number: 8277888
    Abstract: An apparatus for deploying two fluids separately into a reaction chamber is provided. The apparatus includes a first distribution network that is formed on a plate having a distribution face and a dispensing face. The first distribution network is defined by a plurality of recessed channels on the distribution face. The plurality of recessed channels includes a plurality of thru-ports that extend from the plurality of recessed channels to the dispensing face. The apparatus further includes a second distribution network that has passages formed below the plurality of recessed channels and above the dispensing face. A first set of ports extends from the passages to the distribution face and a second set of ports extends from a top surface of the distribution face to the dispensing face.
    Type: Grant
    Filed: December 20, 2011
    Date of Patent: October 2, 2012
    Assignee: Intermolecular, Inc.
    Inventor: Jay Brian Dedontney