Measuring Or Testing (e.g., Of Operating Parameters, End Point Determination, Etc.) Patents (Class 204/192.33)
  • Patent number: 11176656
    Abstract: Methods and systems for implementing artificial intelligence enabled preparation end-pointing are disclosed. An example method at least includes obtaining an image of a surface of a sample, the sample including a plurality of features, analyzing the image to determine whether an end point has been reached, the end point based on a feature of interest out of the plurality of features observable in the image, and based on the end point not being reached, removing a layer of material from the surface of the sample.
    Type: Grant
    Filed: May 10, 2019
    Date of Patent: November 16, 2021
    Assignee: FEI Company
    Inventors: Thomas Gary Miller, John F. Flanagan, IV, Brian Routh, Jr., Richard Young, Brad Larson, Aditee Shrotre
  • Patent number: 11075055
    Abstract: An automated grid handling apparatus for an electron microscope including a transport module having a multistage shuttle comprising a first shuttle stage having a single degree of freedom of motion and a second shuttle stage having a single degree of freedom of motion independent of the first stage, an end effector connected to at least one of the first and second shuttle stages, the end effector configured to hold a grid carrier and transport the grid carrier into and out of an electron microscope through a transport interface that communicates with a multi-axis positioning stage port of the microscope, the end effector having a range of motion defined by the first and second stage degrees of freedom of motions and the multi-axis positioning stage internal to the electron microscope, and an automated loading module connected to the frame and communicating with the transport module, the automated loading module including a load port module through which grids are loaded into the automated loading and transpor
    Type: Grant
    Filed: May 11, 2018
    Date of Patent: July 27, 2021
    Inventor: Richard Joseph Pickreign
  • Patent number: 10929579
    Abstract: A film formation simulation method that enables prediction of the film quality of a film formed on a macro scale is provided. A film formation simulation method including: calculating a position at which each of raw material particles that enter a film formation surface migrates on the film formation surface, on a basis of an activation energy of a surface of the film formation surface, by using a computing device; calculating information regarding a defect of a film including the raw material particles on the film formation surface, on a basis of migration positions of the raw material particles of a predetermined amount, each time the migration positions of the raw material particles of the predetermined amount are calculated; and calculating an activation energy of a surface of the film including the raw material particles, on a basis of the information regarding the defect of the film.
    Type: Grant
    Filed: October 19, 2016
    Date of Patent: February 23, 2021
    Assignee: Sony Corporation
    Inventor: Nobuyuki Kuboi
  • Patent number: 10734663
    Abstract: An anion exchange resin capable of producing an electrolyte membrane, a binder for forming an electrode catalyst layer and a fuel cell electrode catalyst layer, which have improved chemical properties and mechanical properties; an electrolyte membrane and a binder for forming an electrode catalyst layer produced from the anion exchange resin; and a fuel cell having the electrolyte membrane or the electrode catalyst layer.
    Type: Grant
    Filed: July 24, 2018
    Date of Patent: August 4, 2020
    Assignees: UNIVERSITY OF YAMANASHI, TAKAHATA PRECISION CO., LTD.
    Inventors: Kenji Miyatake, Junpei Miyake, Hideaki Ono, Manai Shimada, Naoki Yokota, Natsumi Yoshimura, Aoi Takano, Koichiro Asazawa, Eriko Nishino, Yui Kuwabara
  • Patent number: 10727515
    Abstract: An anion exchange resin capable of producing an electrolyte membrane, a binder for forming an electrode catalyst layer and a fuel cell electrode catalyst layer, which have improved electrical properties; an electrolyte membrane and a binder for forming an electrode catalyst layer produced from the anion exchange resin; and a fuel cell having the electrolyte membrane or the electrode catalyst layer.
    Type: Grant
    Filed: July 24, 2018
    Date of Patent: July 28, 2020
    Assignees: UNIVERSITY OF YAMANASHI, TAKAHATA PRECISION CO., LTD.
    Inventors: Kenji Miyatake, Junpei Miyake, Hideaki Ono, Manai Shimada, Naoki Yokota, Natsumi Yoshimura, Aoi Takano, Koichiro Asazawa, Eriko Nishino, Yui Kuwabara
  • Patent number: 10539489
    Abstract: A method of preparing a sample that includes milling an initial deep lamella within a wafer using a focused ion beam. The initial deep lamella includes at least one internal structure within an upper portion of the initial deep lamella. The method further includes lifting the initial deep lamella out of the wafer, placing the initial deep lamella on an upper surface of the wafer on a lateral side of the initial lamella, milling a planar shallow lamella out of a portion of the initial deep lamella and the wafer beneath the initial deep lamella to include at least substantially an entire length of the at least one internal structure of the initial deep lamella, lifting the planar shallow lamella out of the wafer, and placing the planar shallow lamella on a carbon grid.
    Type: Grant
    Filed: July 30, 2019
    Date of Patent: January 21, 2020
    Assignee: Micron Technology, Inc.
    Inventors: Jamie C. Porter, Scott M. Williams, Clint R. Davlin, Joel B. LeBret
  • Patent number: 10471420
    Abstract: The present invention provides a cation exchange resin, and a cation exchange membrane and an electrolyte membrane for a fuel cell using the same. The cation exchange resin comprises a divalent hydrophobic unit; and a divalent hydrophilic unit having divalent hydrophilic groups which are repeated via carbon-carbon bond. The divalent hydrophilic groups being composed of one aromatic ring, or being composed of a plurality of aromatic rings which are bonded to each other via a divalent hydrocarbon group, a divalent silicon-containing group, a divalent nitrogen-containing group, a divalent phosphorus-containing group, a divalent oxygen-containing group, a divalent sulfur-containing group, or carbon-carbon bond, and at least one of the aromatic rings having a cation exchange group; wherein the hydrophobic unit and the hydrophilic unit are bonded to each other via carbon-carbon bond.
    Type: Grant
    Filed: June 29, 2016
    Date of Patent: November 12, 2019
    Assignees: UNIVERSITY OF YAMANASHI, TAKAHATA PRECISION CO., LTD.
    Inventors: Kenji Miyatake, Makoto Uchida, Jyunpei Miyake, Takashi Mochizuki, Hideaki Ono, Manai Shimada, Naoki Yokota, Natsumi Yoshimura
  • Patent number: 10436717
    Abstract: Described herein are architectures, platforms and methods for detecting and analyzing anomalous events (i.e., arcing events) from spectral data gathered during a wafer fabrication process.
    Type: Grant
    Filed: November 17, 2017
    Date of Patent: October 8, 2019
    Assignee: Tokyo Electron Limited
    Inventors: Thomas Omstead, Ke-Hung Chen, Deepak Vedhachalam
  • Patent number: 10388491
    Abstract: To restrict generation of particles or deterioration in process reproducibility caused by a large amount or carbon polymers generated in a plasma generation portion in an ion beam etching apparatus when a magnetic film on a substrate is etched with reactive ion beam etching in manufacturing a magnetic device. In an ion beam etching apparatus, first carbon-containing gas is introduced by a first gas introduction part into a plasma generation portion, and second carbon-containing gas is additionally introduced by a second gas introduction part into a substrate processing space to perform reactive ion beam etching, thereby etching a magnetic material at preferable selection ratio and etching rate while restricting carbon polymers from being formed in the plasma generation portion.
    Type: Grant
    Filed: October 24, 2012
    Date of Patent: August 20, 2019
    Assignee: CANON ANELVA CORPORATION
    Inventors: Yoshimitsu Kodaira, Tomohiko Toyosato
  • Patent number: 10366899
    Abstract: A method is for detecting a condition associated with a final phase of a plasma dicing process. The method includes providing a non-metallic substrate having a plurality of dicing lanes defined thereon, plasma etching through the substrate along the dicing lanes, wherein during the plasma etching infrared emission emanating from at least a portion of the dicing lanes is monitored so that an increase in infrared emission from the dicing lanes is observed as the final phase of the plasma dicing operation is entered, and detecting the condition associated with the final phase of the plasma dicing from the monitored infrared emission.
    Type: Grant
    Filed: June 19, 2017
    Date of Patent: July 30, 2019
    Assignee: SPTS Technologies Limited
    Inventors: Oliver J Ansell, David A Tossell, Gautham Ragunathan
  • Patent number: 10287409
    Abstract: The present invention provides an anion exchange resin capable of producing an electrolyte membrane for a fuel cell, a binder for forming an electrode catalyst layer and a battery electrode catalyst layer. The anion exchange resin of the present invention has a hydrophobic unit, a hydrophilic unit and divalent fluorine-containing groups. The hydrophobic unit has divalent hydrophobic groups composed of one aromatic ring or a plurality of aromatic rings that are repeated via carbon-carbon bond. The hydrophilic unit has divalent hydrophilic groups composed of one aromatic ring or a plurality of aromatic rings, at least one of which has an anion exchange group, that are repeated via carbon-carbon bond. The divalent fluorine-containing groups have a specific structure and are bonded via carbon-carbon bond to the hydrophobic unit and/or the hydrophilic unit and/or a moiety other than these units.
    Type: Grant
    Filed: August 22, 2015
    Date of Patent: May 14, 2019
    Assignees: TAKAHATA PRECISION JAPAN CO., LTD., UNIVERSITY OF YAMANASHI
    Inventors: Manai Shimada, Naoki Yokota, Kenji Miyatake, Masahiro Watanabe, Junpei Miyake, Hideaki Ono, Eriko Nishino, Koichiro Asazawa
  • Patent number: 10262841
    Abstract: A plasma monitoring device includes a fixing unit, a plasma measuring unit disposed to be in contact with the fixing unit, and measuring a luminous intensity of emitted light of a plasma to output a luminous intensity measurement value, a reference light source unit irradiating reference light having a uniform luminous intensity to the plasma measuring unit, and a control unit receiving the luminous intensity measurement value to calculate a luminous intensity value of the emitted light, controlling a voltage applied to the reference light source unit to uniformly control a luminous intensity of the reference light, comparing a luminous intensity of the reference light irradiated to the plasma measuring unit with a previously stored luminous intensity reference value to detect a correction factor, and applying the correction factor to a luminous intensity value of the emitted light to correct the luminous intensity measurement value.
    Type: Grant
    Filed: January 10, 2017
    Date of Patent: April 16, 2019
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Pyung Moon, Sung Ho Kang, Ki Chul Kim, Un Ki Kim, Yong Hun Lee, Jae Hee Lee, Yong Seok Song, Hang Mook Park, Je Hoon Oh
  • Patent number: 10234628
    Abstract: A method for producing a semiconductor device includes the steps of: providing a substrate product including a substrate and a first stacked semiconductor layer disposed on the substrate, the first stacked semiconductor layer including a plurality of semiconductor layers having different compositions that are alternately and periodically stacked with a predetermined period; forming a mask on the substrate product; and etching the first stacked semiconductor layer using the mask. The step of etching the first stacked semiconductor layer includes the steps of: optically monitoring an optical signal including a light component reflected from an etched surface of the substrate product for detecting an endpoint of etching; converting the optical signal to an electric signal to generate a monitoring signal; performing Fourier transform on the monitoring signal to generate a spectrum signal; and determining the endpoint detection of the etching by using the spectrum signal provided by the Fourier transform.
    Type: Grant
    Filed: September 25, 2017
    Date of Patent: March 19, 2019
    Assignee: SUMITOMO ELECTRIC INDUSTRIES, LTD.
    Inventor: Yukihiro Tsuji
  • Patent number: 10192749
    Abstract: According to the present invention, a dry-etching method for performing plasma etching in a vertical profile while maintaining selectivity relative to a mask, includes: a first process of etching a film to be etched with use of reactive gas to cause an etching profile of the film to be etched to be formed in a footing profile; and a second process of, after the first process, causing the footing profile to be formed in a vertical profile by means of sputtering etching.
    Type: Grant
    Filed: August 26, 2016
    Date of Patent: January 29, 2019
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Kenichi Kuwahara, Syuji Enokida
  • Patent number: 10096466
    Abstract: Methods of processing a substrate are provided herein. In some embodiments, a method of processing a substrate disposed in a processing chamber includes: (a) depositing a layer of material on a substrate by exposing the substrate to a first reactive species generated from a remote plasma source and to a first precursor, wherein the first reactive species reacts with the first precursor; and (b) treating all, or substantially all, of the deposited layer of material by exposing the substrate to a plasma generated within the processing chamber from a second plasma source; wherein at least one of the remote plasma source or the second plasma source is pulsed to control periods of depositing and periods of treating.
    Type: Grant
    Filed: March 17, 2016
    Date of Patent: October 9, 2018
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Jun Xue, Ludovic Godet, Srinivas Nemani, Michael W. Stowell, Qiwei Liang, Douglas A. Buchberger
  • Patent number: 10021356
    Abstract: In one embodiment, an imaging method may include receiving an intensity value of a first spectral channel associated with a pixel location. The intensity value of the first spectral channel may be based on electromagnetic radiation reflected from an object after being emitted from a narrow-band electromagnetic radiation source. The method may further include defining an intensity value of a second spectral channel based on the intensity value of the first spectral channel. The second spectral channel may be associated with a spectral region of electromagnetic radiation different from a spectral region of electromagnetic radiation associated with the first spectral channel. The method may also include associating the intensity value of the second spectral channel with the pixel location.
    Type: Grant
    Filed: March 11, 2016
    Date of Patent: July 10, 2018
    Assignee: Boston Scientific Scimed, Inc.
    Inventors: Zhihua He, Nathaniel McCaffrey, Jason Sproul
  • Patent number: 9984859
    Abstract: An impedance matching circuit (IMC) is described. The impedance matching circuit includes a first circuit. The first circuit has an input coupled to a kilohertz (kHz) radio frequency (RF) generator. The IMC includes a second circuit. The second circuit has an input coupled to a low frequency megahertz (MHz) RF generator. The IMC includes a third circuit. The third circuit has an input coupled to a high frequency MHz RF generator. The IMC includes an output of the first, second, and third circuits coupled to an input of an RF transmission line. The first circuit and the second circuit provide isolation between a kHz RF signal sent through the first circuit and a low frequency MHz RF signal sent through the second circuit.
    Type: Grant
    Filed: February 22, 2017
    Date of Patent: May 29, 2018
    Assignee: Lam Research Corporation
    Inventors: Alexei Marakhtanov, Felix Kozakevich, John Patrick Holland, Brett Jacobs
  • Patent number: 9984906
    Abstract: A plasma processing device includes a processing chamber defining a plasma processing space and a stage for mounting thereon a target substrate in the processing chamber. The plasma processing device further includes a gas supply mechanism for introducing a processing gas into the plasma processing space, a plasma generation mechanism for supplying electromagnetic energy into the plasma processing space, and a control unit configured to, if a command to start a plasma process for the target substrate mounted on a substrate carry-in stage is issued, perform a warm-up process for supplying the processing gas into the plasma processing space by the gas supply mechanism and supplying the electromagnetic energy by the plasma generation mechanism in a state where no target substrate is mounted on the stage.
    Type: Grant
    Filed: May 21, 2013
    Date of Patent: May 29, 2018
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Naoki Matsumoto, Yugo Tomita
  • Patent number: 9931624
    Abstract: An anion exchange resin having a hydrophobic unit with divalent hydrophobic groups bonded to each other via an ether bond, the divalent hydrophobic groups being composed of one aromatic ring, or being composed of a plurality of aromatic rings which are bonded to each other via a divalent hydrocarbon group, carbon-carbon bond or the like; and a hydrophilic unit having divalent hydrophilic groups bonded to each other via carbon-carbon bond, the divalent hydrophilic groups being composed of one aromatic ring, or being composed of a plurality of aromatic rings which are bonded to each other via a divalent hydrocarbon group or carbon-carbon bond, the aromatic ring or at least one of the aromatic rings having an anion exchange group are bonded via carbon-carbon bond.
    Type: Grant
    Filed: February 28, 2015
    Date of Patent: April 3, 2018
    Assignees: TAKAHATA PRECISION JAPAN CO., LTD., UNIVERSITY OF YAMANASHI
    Inventors: Naoki Yokota, Manai Shimada, Kenji Miyatake, Masahiro Watanabe, Junpei Miyake, Eriko Nishino, Koichiro Asazawa
  • Patent number: 9786473
    Abstract: Provided is a method of processing a wafer, which is performed in a processing container of a plasma processing apparatus. This method is a plasma etching method performed on a porous film formed of SiOCH, and is a method of enabling the suppression of various types of deterioration such as an increase in the dielectric constant of the porous film. The wafer includes the porous film and a mask provided on the porous film. The method includes a process of generating a plasma of a first gas and a plasma of a second gas in the processing container and etching the porous film using the mask. The porous film contains SiOCH, and the first gas contains a fluorocarbon-based gas. The second gas contains GeF4 gas.
    Type: Grant
    Filed: October 31, 2016
    Date of Patent: October 10, 2017
    Assignee: TOKYO ELECTRON LIMITED
    Inventor: Shigeru Tahara
  • Patent number: 9659743
    Abstract: A spatial image having 2D spatial information is obtained from a surface of a sample by an image creating method. The surface of the sample is milled to obtain an elemental image having material information from the milled surface. The spatial image and the elemental image are composed to form a 2D spatial/elemental image.
    Type: Grant
    Filed: December 9, 2015
    Date of Patent: May 23, 2017
    Assignee: SAMSUNG ELECTRONICS CO., LTD
    Inventors: Jung-Hwan Kim, Min-Kook Kim, Yu-Sin Yang, Sang-Kil Lee, Chung-Sam Jun
  • Patent number: 9319601
    Abstract: In one embodiment, an imaging method may include receiving an intensity value of a first spectral channel associated with a pixel location. The intensity value of the first spectral channel may be based on electromagnetic radiation reflected from an object after being emitted from a narrow-band electromagnetic radiation source. The method may further include defining an intensity value of a second spectral channel based on the intensity value of the first spectral channel. The second spectral channel may be associated with a spectral region of electromagnetic radiation different from a spectral region of electromagnetic radiation associated with the first spectral channel. The method may also include associating the intensity value of the second spectral channel with the pixel location.
    Type: Grant
    Filed: September 9, 2013
    Date of Patent: April 19, 2016
    Assignee: Boston Scientific Scimed, Inc.
    Inventors: Zhihua He, Nathaniel McCaffrey, Jason Sproul
  • Patent number: 9097182
    Abstract: A system includes a radiation sensor configured to direct a field of view toward at least one conduit along a fluid flow path into a heat exchanger. The radiation sensor is configured to output a signal indicative of a temperature of the at least one conduit. The system also includes a controller communicatively coupled to the radiation sensor. The controller is configured to determine the temperature based on the signal, to compare the temperature to a threshold range, and to adjust a fluid flow through the fluid flow path or the at least one conduit if the temperature deviates from the threshold range.
    Type: Grant
    Filed: August 5, 2010
    Date of Patent: August 4, 2015
    Assignee: General Electric Company
    Inventors: Rahul Jaikaran Chillar, Julio E. Mestroni, Eric J. Kauffman, Adil Ansari
  • Publication number: 20140347038
    Abstract: A probe, comprising: a shank region having a top surface integrally connected to a bottom surface of a conical region; a pyramidal tip region having a base surface integrally connected to a top surface of the conical region; and wherein the base surface of the pyramidal tip region is contained within a perimeter of the top surface of the conical region. Also a method of fabricating the probe and a method of probing devices under test.
    Type: Application
    Filed: May 23, 2013
    Publication date: November 27, 2014
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: David R. Goulet, Walter V. Lepuschenko
  • Publication number: 20140209453
    Abstract: A method for predicting plasma micro-arcing includes obtaining a spectrum signal in a given plasma process, classifying an optical intensity of the spectrum signal into soft and hard arcing events according to an amplitude of the optical intensity of the spectrum signal, separately counting a number of occurrences of the soft arcing event in a given unit time, comparing the number of occurrences of the soft arcing event during the given unit time with the number of occurrences of the soft arcing event during a previous unit time, and determining that a number of occurrences of the hard arcing event will increase during a next unit time subsequent to the given unit time, when the number of occurrences of the soft arcing event during the given unit time increases in comparison with the number of occurrences of the soft arcing event during the previous unit time.
    Type: Application
    Filed: December 31, 2013
    Publication date: July 31, 2014
    Applicant: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Hyun Su JUN, TaeRang KIM, Jino PARK
  • Patent number: 8790498
    Abstract: A method and device for ion beam processing of surfaces of a substrate positions the substrate to face an ion beam, and a new technologically-defined pattern of properties is established. According to the method, the current geometrical effect pattern of the ion beam on the surface of the substrate is adjusted depending on the known pattern of properties and the new technologically-defined pattern of properties, and depending upon the progress of the processing, by modifying the beam characteristic and/or by pulsing the ion beam. A device for carrying out the method includes a substrate support for holding at least one substrate, which can be moved along an Y-axis and an X-axis, and an ion beam source for generating an ion beam, which is perpendicular to the surface to be processed of the substrate in the Z-axis or which may be arranged in an axis, inclined in relation to the Z-axis. The distance between the ion beam source and the surface to be processed of the substrate may be fixed or variable.
    Type: Grant
    Filed: October 29, 2004
    Date of Patent: July 29, 2014
    Assignee: Roth & Rau AG
    Inventors: Joachim Mai, Dietmar Roth, Bernd Rau, Karl-Heinz Dittrich
  • Publication number: 20140116873
    Abstract: An improved method and apparatus for S/TEM sample preparation and analysis. Preferred embodiments of the present invention provide improved methods for TEM sample creation, especially for small geometry (<100 nm thick) TEM lamellae. A novel sample structure and a novel use of a milling pattern allow the creation of S/TEM samples as thin as 50 nm without significant bowing or warping. Preferred embodiments of the present invention provide methods to partially or fully automate TEM sample creation, to make the process of creating and analyzing TEM samples less labor intensive, and to increase throughput and reproducibility of TEM analysis.
    Type: Application
    Filed: September 17, 2013
    Publication date: May 1, 2014
    Applicant: FEI Company
    Inventors: Jeffrey Blackwood, Stacey Stone
  • Publication number: 20140076717
    Abstract: Provided is a technique to perform FIB milling, in spite of its sample dependency, effectively into a desired shape without influences of individual differences among operators. A charged particle beam device includes an ion beam optical system device configured to irradiate a sample with an ion beam generated at an ion source; a controller thereof; an element detector configured to detect elements constituting the sample; a controller thereof; and a central processor configured to automatically set conditions for the sample based on the element specified by the element detector.
    Type: Application
    Filed: May 16, 2012
    Publication date: March 20, 2014
    Applicant: HITACHI HIGH-TECHNOLOGIES CORPORATION
    Inventors: Terutaka Nanri, Satoshi Tomimatsu
  • Publication number: 20140061032
    Abstract: A method, system, and computer-readable medium for forming transmission electron microscopy sample lamellae using a focused ion beam including directing a high energy focused ion beam toward a bulk volume of material; milling away the unwanted volume of material to produce an unfinished sample lamella with one or more exposed faces having a damage layer; characterizing the removal rate of the focused ion beam; subsequent to characterizing the removal rate, directing a low energy focused ion beam toward the unfinished sample lamella for a predetermined milling time to deliver a specified dose of ions per area from the low energy focused ion beam; and milling the unfinished sample lamella with the low energy focused ion beam to remove at least a portion of the damage layer to produce the finished sample lamella including at least a portion of the feature of interest.
    Type: Application
    Filed: August 31, 2012
    Publication date: March 6, 2014
    Applicant: FEI Company
    Inventors: Thomas G. Miller, Jason Arjavac, Michael Moriarty
  • Publication number: 20130334034
    Abstract: Provided are a device and method capable of machining a machining target such as a sample, a probe, or a sample table without requiring a high degree of device operation skill. First, a shape generation process of determining a shape of a machining target on the basis of an ion beam scanning signal and an absorption current of the machining target is performed. Next, a machining pattern positioning process of positioning a machining pattern over an image of the machining target is performed. Further, an ion beam stopping process of stopping ion beam irradiation is performed from a result of comparison between the image of the machining target and the machining pattern while the machining target is machined through the ion beam irradiation.
    Type: Application
    Filed: January 13, 2012
    Publication date: December 19, 2013
    Applicant: HITACHI HIGH-TECHNOLOGIES CORPORATION
    Inventors: Shinya Kitayama, Satoshi Tomimatsu, Tsuyoshi Onishi
  • Publication number: 20130319647
    Abstract: In a method of producing an exhaust-gas heat exchanger of a motor vehicle, at least one component of the exhaust-gas heat exchanger, e.g. an outer jacket or ducts arranged in the outer jacket or metal sheets, is subjected to an electrochemical machining process to produce a homogenous and smooth surface. The electrochemical machining process may involve plasma-polishing or electro-polishing.
    Type: Application
    Filed: May 31, 2013
    Publication date: December 5, 2013
    Inventors: Thorsten Andres, Eugen Aul, Fabian Fricke, Sebastian Müller, Rainer Voesgen
  • Patent number: 8574409
    Abstract: A method of magnetron sputtering, comprises rotating a magnet of a magnetron with an angular frequency ?, and, during sputtering of material from a source of the magnetron onto a substrate, periodically modulating a power level applied to the source with at least a component comprising a frequency f which is a harmonic of the angular frequency ? of rotation of the magnet other than the first harmonic.
    Type: Grant
    Filed: July 18, 2012
    Date of Patent: November 5, 2013
    Assignee: OC oerlikon Balzers AG
    Inventors: Stanislav Kadlec, Frantisek Balon, Juergen Weichart, Bart Scholte van Mast
  • Publication number: 20130248354
    Abstract: A method for TEM sample preparation and analysis that can be used in a FIB-SEM system without re-welds, unloads, user handling of the lamella, or a motorized flip stage. The method allows a dual beam FIB-SEM system with a typical tilt stage to be used to extract a sample to from a substrate, mount the sample onto a TEM sample holder capable of tilting, thin the sample using FIB milling, and rotate the sample so that the sample face is perpendicular to an electron column for STEM imaging.
    Type: Application
    Filed: November 30, 2012
    Publication date: September 26, 2013
    Inventors: Paul Keady, Brennan Peterson, Guus Das, Craig Henry, Larry Dworkin, Jeff Blackwood, Stacey Stone, Michael Schmidt
  • Publication number: 20130186747
    Abstract: A method and system for forming a planar cross-section view for an electron microscope. The method comprises directing an ion beam from an ion source toward a first surface of a sample to mill at least a portion of the sample; milling the first surface, using the ion beam, to expose a second surface in which the end of the second surface distal to the ion source is milled to a greater depth relative to a reference depth than the end of the first surface proximal to the ion source; directing an electron beam from an electron source to the second surface; and forming an image of the second surface by detecting the interaction of the electron beam with the second surface. Embodiments also include planarzing the first surface of the sample prior to forming a cross-section.
    Type: Application
    Filed: September 11, 2012
    Publication date: July 25, 2013
    Applicant: FEI Company
    Inventors: Michael Schmidt, Cliff Bugge
  • Publication number: 20130180843
    Abstract: Method, device, and system, for directed multi-deflected ion beam milling of a work piece, and, determining and controlling extent thereof. Providing an ion beam; and directing and at least twice deflecting the provided ion beam, for forming a directed multi-deflected ion beam, wherein the directed multi-deflected ion beam is directed towards, incident and impinges upon, and mills, a surface of the work piece. Device includes an ion beam source assembly; and an ion beam directing and multi-deflecting assembly, for directing and at least twice deflecting the provided ion beam, for forming a directed multi-deflected ion beam, wherein the directed multi-deflected ion beam is directed towards, incident and impinges upon, and mills, a surface of the work piece.
    Type: Application
    Filed: July 17, 2012
    Publication date: July 18, 2013
    Applicant: CAMTEK LTD.
    Inventors: Dimitri BOGUSLAVSKY, Valentin CHEREPIN, Colin SMITH
  • Publication number: 20130180844
    Abstract: A method of processing one or more surfaces is provided, comprising: providing a switchable ion gun which is switchable between a cluster mode setting for producing an ion beam substantially comprising ionised gas clusters for irradiating a surface and an atomic mode setting for producing an ion beam substantially comprising ionised gas atoms for irradiating a surface; and selectively operating the ion gun in the cluster mode by mass selecting ionised gas clusters using a variable mass selector thereby irradiating a surface substantially with ionised gas clusters or the atomic mode by mass selecting ionised gas atoms using a variable mass selector thereby irradiating a surface substantially with ionised gas atoms.
    Type: Application
    Filed: October 10, 2011
    Publication date: July 18, 2013
    Inventor: Bryan Barnard
  • Publication number: 20130105302
    Abstract: Provided is a technique capable of removing a damaged layer of a sample piece generated through an FIB fabrication sufficiently but at the minimum. A charged particle beam device includes a first element ion beam optical system unit (110) which performs a first FIB fabrication to form a sample piece from a sample, a second element ion beam optical system unit (120) which performs a second FIB fabrication to remove a damaged layer formed on a surface of the sample piece, and a first element detector (140) which detects an first element existing in the damaged layer. A termination of the second FIB fabrication is determined if an amount of the first element existing in the damaged layer becomes smaller than a predefined threshold value.
    Type: Application
    Filed: July 5, 2011
    Publication date: May 2, 2013
    Inventors: Terutaka Nanri, Tsuyoshi Onishi, Satoshi Tomimatsu
  • Publication number: 20130023065
    Abstract: Methods and apparatus for performing end point determination. A method includes receiving a wafer into an etch tool chamber for performing an RIE etch; beginning the RIE etch to form vias in the wafer; receiving in-situ measurements of one or more physical parameters of the etch tool chamber that are correlated to the RIE etch process; providing a virtual metrology model for the RIE etch in the chamber; inputting the received in-situ measurements to the virtual metrology model for the RIE etch in the chamber; executing the virtual metrology model to estimate the current via depth; comparing the estimated current via depth to a target depth; and when the comparing indicates the current via depth is within a predetermined threshold of the target depth; outputting a stop signal. An apparatus for use with the method embodiment is disclosed.
    Type: Application
    Filed: July 22, 2011
    Publication date: January 24, 2013
    Applicant: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chien Rhone Wang, Tzu-Cheng Lin, Yu-Jen Cheng, Chih-Wei Lai, Hung-Pin Chang, Tsang-Jiuh Wu
  • Publication number: 20130017315
    Abstract: Methods and apparatus for controlling power distribution in a substrate processing system are provided. In some embodiments, a substrate processing system including a process chamber having a substrate support and a processing region disposed above the substrate support; a first conduit disposed above the processing region to provide a portion of a first toroidal path that extends through the first conduit and across the processing region; a second conduit disposed above the processing region to provide a portion of a second toroidal path that extends through the second conduit and across the processing region; an RF generator coupled to the first and second conduits to provide RF energy having a first frequency to each of the first and second conduits; an impedance matching network disposed between the RF generator and the first and second conduits; and a power divider to control the amount of RF energy provided to the first and second conduits from the RF generator.
    Type: Application
    Filed: July 15, 2011
    Publication date: January 17, 2013
    Applicant: APPLIED MATERIALS, INC.
    Inventors: CANFENG LAI, DAVID EUGENE ABERLE, MICHAEL P. CAMP, HENRY BARANDICA, MARTIN A. HILKENE, MATTHEW D. SCOTNEY-CASTLE, JEFFREY TOBIN, DOUGLAS H. BURNS, LARA HAWRYLCHAK
  • Publication number: 20120152731
    Abstract: An improved method and apparatus for S/TEM sample preparation and analysis. Preferred embodiments of the present invention provide improved methods for TEM sample creation, especially for small geometry (<100 nm thick) TEM lamellae. A novel sample structure and a novel use of a milling pattern allow the creation of S/TEM samples as thin as 50 nm without significant bowing or warping. Preferred embodiments of the present invention provide methods to partially or fully automate TEM sample creation, to make the process of creating and analyzing TEM samples less labor intensive, and to increase throughput and reproducibility of TEM analysis.
    Type: Application
    Filed: February 27, 2012
    Publication date: June 21, 2012
    Applicant: FEI COMPANY
    Inventors: Jeff Blackwood, Stacey Stone
  • Publication number: 20120067718
    Abstract: A three-dimensional milling method and apparatus is disclosed for milling micrometre and a nanometre scale three-dimensional structures. The apparatus includes an ion column operable to generate a milling beam onto a substrate held on an instrument stage. A patterning computer is operable to control the ion column to generate varying ion beam and/or dwell times or to produce a plurality of milling passes, in which subsequent passes overlap previous passes at least partially to create three-dimensional structures. Optionally, an SEM column may be provided.
    Type: Application
    Filed: March 29, 2010
    Publication date: March 22, 2012
    Applicants: The University of Surrey, The Secretary of State for Business Innovation 7 Skills of her Majesty's Britannic Government
    Inventor: David Cox
  • Patent number: 8137574
    Abstract: The present invention is to provide a processing method for manufacturing a highly flat and highly smooth glass substrate with good productivity. A highly flat and highly smooth glass substrate is obtained with good productivity by processing of a glass substrate, which comprises a step of measuring the surface shape of the glass substrate prior to processing, a step of processing the surface of the substrate by changing a processing condition for each site (first processing step), and a step of finish-polishing the surface of the glass substrate that has been subjected to the first processing step (second processing step).
    Type: Grant
    Filed: September 19, 2008
    Date of Patent: March 20, 2012
    Assignee: Asahi Glass Company, Limited
    Inventors: Koji Otsuka, Hiroshi Kojima, Masabumi Ito
  • Patent number: 8089026
    Abstract: Methods and apparatus for controlling a plasma used for materials processing feature cooperative action of a resonant circuit and a switch unit coupled to a plasma vessel and a power supply. A sensor for acquiring a signal associated with a state of a plasma in the plasma vessel supports closed-loop control of the switch unit. Undesirable plasma states detected by the sensor can be eliminated by closing the switch unit to shunt the resonant circuit.
    Type: Grant
    Filed: August 30, 2005
    Date of Patent: January 3, 2012
    Assignee: MKS Instruments, Inc.
    Inventor: Jeff C Sellers
  • Publication number: 20110297533
    Abstract: The invention provides a plasma processing apparatus and a dry etching method for etching a multilayered film structure having steps with high accuracy. The plasma processing apparatus comprises a vacuum reactor 107, a lower electrode 113 placed within a processing chamber of the vacuum reactor and having a wafer 112 to be etched mounted on the upper surface thereof, bias supplying units 118 and 120 for supplying high frequency power for forming a bias potential to the lower electrode 113, a gas supply means 111 for feeding reactive gas into the processing chamber, an electric field supplying means 101 through 103 for supplying a magnetic field for generating plasma in the processing chamber, and a control unit 127 for controlling the distribution of ion energy in the plasma being incident on the wafer 112 via the high frequency power.
    Type: Application
    Filed: August 18, 2011
    Publication date: December 8, 2011
    Applicant: Hitachi High-Technologies Corporation
    Inventors: Masahito MORI, Naoyuki KOFUJI, Naoshi ITABASHI
  • Patent number: 8043484
    Abstract: Conductive or barrier material is deposited on a semiconductor substrate having recessed features by a method that has at least two operations. The first operation involves depositing a layer of the material on at least a portion of the field regions of the wafer. The second operation involves resputtering at least the layer residing on the field region of the wafer under high pressure. If the pressure is sufficiently high, momentum transfer reflection of the resputtered material will take place, such that at least some of the resputtered material is placed in the recessed features of the wafer. This approach can, among other advantages, offer improved step coverage and better utilization of the material.
    Type: Grant
    Filed: July 30, 2007
    Date of Patent: October 25, 2011
    Assignee: Novellus Systems, Inc.
    Inventor: Robert Rozbicki
  • Patent number: 8018160
    Abstract: A magnetron has a cathode, an anode with vanes, and an insulating surface which faces the cathode and receives material from the cathode due to sputtering at the cathode. A conductor enables the resistance of the film so deposited to be measured, giving an indication of the thickness of the film and the lifetime of the magnetron.
    Type: Grant
    Filed: March 5, 2008
    Date of Patent: September 13, 2011
    Assignee: E2V Technologies (UK) Limited
    Inventor: Michael Barry Clive Brady
  • Patent number: 7973088
    Abstract: The present invention provides a polymer electrolyte membrane with excellent proton conductivity in its thickness direction. Preferably, the polymer electrolyte membrane containing a polymer compound comprising an ionic segment having an ionic functional group and a nonionic segment having substantially no ionic functional group, and the phase containing ionic segments as a main component and the phase containing nonionic segments as a main component are phase-separated, and in the surface region thereof, the change in the amount of the ionic segment from the surface toward the interior substantially decreases monotonically.
    Type: Grant
    Filed: August 23, 2007
    Date of Patent: July 5, 2011
    Assignee: Sumitomo Chemical Company, Limited
    Inventors: Junichi Ikeuchi, Mitsunori Nodono, Yasuhiro Yamashita
  • Publication number: 20110056912
    Abstract: Uniformity in a plasma process can be increased by increasing a plasma confining effect by a cusp magnetic field over the whole circumference. There is provided a plasma processing apparatus which performs a process on a substrate by generating plasma of a processing gas in a depressurized processing chamber. The apparatus includes a magnetic field generation unit 200 including two magnet rings 210 and 220 vertically spaced from each other and arranged along a circumferential direction of the processing chamber. Each of the magnet rings includes multiple segments 212 and 222 of which magnetic poles are alternately reversed two by two along a circumferential direction of an inner surface of the magnet ring. In the magnetic field generation unit 200, arrangement of upper and lower magnetic poles is changed by rotating the lower magnet ring 220 in a circumferential direction with respect to the upper magnet ring 210.
    Type: Application
    Filed: September 3, 2010
    Publication date: March 10, 2011
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: Shoichiro Matsuyama
  • Publication number: 20100300873
    Abstract: An improved method and apparatus for S/TEM sample preparation and analysis. Preferred embodiments of the present invention provide improved methods for TEM sample creation, especially for small geometry (<100 nm thick) TEM lamellae. A novel sample structure and a novel use of a milling pattern allow the creation of S/TEM samples as thin as 50 nm without significant bowing or warping. Preferred embodiments of the present invention provide methods to partially or fully automate TEM sample creation, to make the process of creating and analyzing TEM samples less labor intensive, and to increase throughput and reproducibility of TEM analysis.
    Type: Application
    Filed: October 22, 2007
    Publication date: December 2, 2010
    Applicant: FEI COMPANY
    Inventors: Jeff Blackwood, Stacey Stone
  • Patent number: 7833388
    Abstract: A method for manufacturing a magnetic layer with a magnetic anisotropy. The method includes an endpoint detection process for determining an end point to carefully control the final thickness of the magnetic layer. The method includes depositing a magnetic layer and then depositing a sacrificial layer over the magnetic layer. A low power angled ion milling is then performed until the magnetic layer has been reached. The angled ion milling can be performed at an angle relative to normal and without rotation in order to form an anisotropic surface texture that induces a magnetic anisotropy in the magnetic layer. An indicator layer may be included between the magnetic layer and the sacrificial layer in order to further improve endpoint detection.
    Type: Grant
    Filed: December 22, 2006
    Date of Patent: November 16, 2010
    Assignee: Hitachi Global Storage Technologies Netherlands B.V.
    Inventors: Matthew Joseph Carey, Jeffrey Robinson Childress, Stefan Maat