Multi-chamber (e.g., Including Air Lock, Load/unload Chamber, Etc.) Patents (Class 204/298.25)
  • Patent number: 11860528
    Abstract: Substrate processing systems or platforms and methods configured to process substrates including of extreme ultraviolet (EUV) mask blanks are disclosed. Systems or platforms provide a small footprint, high throughput of substrates and minimize defect generation. The substrate processing system platform comprises a single central transfer chamber, a single transfer robot, a substrate flipping fixture, and processing chambers are positioned around the single central transfer chamber.
    Type: Grant
    Filed: December 21, 2020
    Date of Patent: January 2, 2024
    Assignee: Applied Materials, Inc.
    Inventors: Ribhu Gautam, Vibhu Jindal, Sanjay Bhat, Praveen Kumar Choragudi, Vinodh Ramachandran, Arun Rengaraj
  • Patent number: 11781210
    Abstract: A magnet assembly includes a support plate and a plurality of magnets which are removably fixed to the support plate and applies a magnetic force to a deposition mask. Each magnet among the plurality of magnets include a first surface facing the support plate, a second surface opposite to the first surface and facing the deposition mask, and a magnet groove defined therein.
    Type: Grant
    Filed: October 28, 2021
    Date of Patent: October 10, 2023
    Assignee: SAMSUNG DISPLAY CO., LTD.
    Inventors: Jae Min Hong, Jong Hyoek Ryu, Seung Min Jin
  • Patent number: 11711885
    Abstract: A printed circuit board (PCB) having an engineered thermal path and a method of manufacturing are disclosed herein. In one aspect, the PCB includes complementary cavities formed on opposite sides of the PCB. The complementary cavities are in a thermal communication and/or an electrical communication to form the engineered thermal path and each cavity is filled with a thermally conductive material to provide a thermal pathway for circuits and components of the PCB. The method of manufacturing may further include drilling and/or milling each cavity, panel plating the cavities and filling the cavities with a suitable filling material.
    Type: Grant
    Filed: January 29, 2021
    Date of Patent: July 25, 2023
    Assignee: TTM Technologies, Inc.
    Inventors: Rick Bauer, Jerrad Martinson, Shane Hoffstatter, Doyle Laudal, Mike Lugert, Mike Len
  • Patent number: 11586573
    Abstract: A system includes a programmable logic control (PLC) module, an input/output (IO) network bus coupled to the PLC module and provided at facets of a mainframe. A first process chamber attached to a first facet of the facets. A chamber interface IO sub-module is attached to the first facet and coupled to the IO network bus and to a process chamber IO controller of the first process chamber. The chamber interface IO sub-module is to: convert interlock relay signals, received via dry contact exchange with the process chamber IO controller, to digital signals; combine the digital signals into network packets adapted for communication using a protocol of the IO network bus; and transmit the network packets to the PLC module over the IO network bus.
    Type: Grant
    Filed: March 4, 2021
    Date of Patent: February 21, 2023
    Assignee: Applied Materials, Inc.
    Inventors: James Robert Reed, Kiyki-Shiy N. Shang, Martin A. Jolivet
  • Patent number: 11512386
    Abstract: A deposition apparatus for cutting tools with a coating film capable of depositing the coating film in an appropriate temperature condition is provided. The deposition apparatus includes: a deposition chamber in which a coating film is formed on the cutting tools; a pre-treatment chamber and post-treatment chamber, each of which is connected to the deposition chamber through a vacuum valve; and a conveying line that conveys the cutting tools from the pre-treatment chamber to the post-treatment chamber going through the deposition chamber, the in-line deposition apparatus using a conveyed carrier on which rods supporting cutting tools are provided in a standing state along a conveying direction. The deposition chamber includes: a deposition region; a conveying apparatus; a heating region; and a carrier-waiting region.
    Type: Grant
    Filed: August 13, 2020
    Date of Patent: November 29, 2022
    Assignee: MITSUBISHI MATERIALS CORPORATION
    Inventors: Masao Kawamura, Toshikatsu Sudo, Atsushi Shinboya
  • Patent number: 11482436
    Abstract: A rotational indexer that is rotatable to allow semiconductor wafers or other items to be moved between various stations arranged in a circular array; the items being moved may be supported by arms of the indexer during such movement. The rotational indexer may be further configured to also cause the items being moved to rotate about other rotational axes to cause rotation of the items relative to the arms supporting them.
    Type: Grant
    Filed: January 10, 2019
    Date of Patent: October 25, 2022
    Assignee: Lam Research Corporation
    Inventors: Richard M. Blank, Karl Frederick Leeser
  • Patent number: 11476139
    Abstract: A linear electrical machine comprising a frame with a level reference plane and an array of electromagnets, connected to the frame to form a drive plane at a predetermined height relative to the reference plane. The array of electromagnets being arranged so that a series of electromagnets of the array of electromagnets define at least one drive line within the drive plane, and each of the electromagnets being coupled to an alternating current power source energizing each electromagnet. At least one reaction platen of paramagnetic, diamagnetic, or non-magnetic conductive material disposed to cooperate with the electromagnets of the array of electromagnets so that excitation of the electromagnets with alternating current generates levitation and propulsion forces against the reaction platen that controllably levitate and propel the reaction platen along at least one drive line, in a controlled attitude relative to the drive plane.
    Type: Grant
    Filed: February 19, 2021
    Date of Patent: October 18, 2022
    Assignee: Brooks Automation US, LLC
    Inventors: Jairo T. Moura, Roumen Botev
  • Patent number: 11447858
    Abstract: A system and method for fabricating perovskite films for solar cell applications are provided, the system including a housing for use as a vacuum chamber, a substrate stage coupled to the top section of the housing; a first evaporator unit coupled to the bottom section of the housing and configured to generate BX2 (metal halide material) vapor; a second evaporator unit coupled to the housing and configured to generate AX (organic material) vapor; and a flow control unit coupled to the housing for controlling circulation of the AX vapor. The dimensions of the horizontal cross-sectional shape of the first evaporator unit, the dimensions of the horizontal cross-sectional shape of the substrate stage, and the relative position in the horizontal direction between the two horizontal cross-sectional shapes are configured to maximize the overlap between the two horizontal cross-sectional shapes.
    Type: Grant
    Filed: December 21, 2018
    Date of Patent: September 20, 2022
    Assignee: OKINAWA INSTITUTE OF SCIENCE AND TECHNOLOGY SCHOOL CORPORATION
    Inventors: Yabing Qi, Luis Katsuya Ono, Shenghao Wang
  • Patent number: 11174544
    Abstract: A vapor deposition system comprises a vacuum chamber and two or more process modules each configured for processing a semiconductor substrate. Each process module is removably connected to a respective port of the vacuum chamber such that each process module is in vacuum communication with the vacuum chamber when connected to the respective port. A port sealing mechanism is configured to create a vacuum seal at each port such that when a first port is sealed and a first process module is disconnected from the first port, a vacuum condition is maintained within the vacuum chamber while the first process module is open to atmospheric pressure.
    Type: Grant
    Filed: September 12, 2019
    Date of Patent: November 16, 2021
    Assignee: ASM NEXX, INC.
    Inventors: Arthur Keigler, Kevin Barbera, Daniel L. Goodman
  • Patent number: 11056722
    Abstract: A solid state electrochemical battery fabrication device and a method of creating the solid state electrochemical battery are provided. There is a first chamber comprising a first magnetron and a second chamber comprising a second magnetron, coupled to the first chamber. There is a third chamber comprising a vapor source for a polymer deposition, coupled to the second chamber. A Knudsen cell is coupled to the third chamber and configured to deposit lithium on a battery being fabricated. A linear hollow shaft connects the first, second, and third chambers, and provides a hermetic seal. A first telescopic arm having a housing is coupled to a first end of the hollow shaft and configured to extend out of its housing from the first chamber to the second chamber.
    Type: Grant
    Filed: February 8, 2018
    Date of Patent: July 6, 2021
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Frank Robert Libsch, Ghavam G. Shahidi, Ko-Tao Lee, Stephen M. Rossnagel
  • Patent number: 10994938
    Abstract: The present invention provides a technique to enable sufficient space saving in a transit-type vacuum processing device. The vacuum processing device 1 of the present invention has: a vacuum chamber 2 where a single vacuum ambience is formed; first and second processing regions 4 and 5 that are provided in the vacuum chamber 2 and have a processing source that performs processing on a planar process surface of a substrate 10; and a conveyance drive member 33 that forms a conveyance path for conveying the substrate 10 so as to pass through the first and second processing regions 4 and 5.
    Type: Grant
    Filed: June 11, 2018
    Date of Patent: May 4, 2021
    Assignee: ULVAC, INC.
    Inventor: Hirotoshi Nakao
  • Patent number: 10941483
    Abstract: An in-line coating device includes: a coating section configured to perform coating on a workpiece; and a transport section configured to transport a plurality of the workpieces in the coating section. The coating section is provided with a plurality of coating materials which are aligned. The transport section transports the workpieces such that the workpieces face the plurality of coating materials. The in-line coating device includes a voltage applying section configured to, when the workpiece is transported and faces each coating material, apply to the workpiece, a bias voltage to attract particles emitted from the coating material toward the workpiece. The bias voltages applied to the workpiece by the voltage applying section when the workpiece faces one of the coating materials can be different from that applied when the workpiece faces another one of the coating material.
    Type: Grant
    Filed: September 30, 2013
    Date of Patent: March 9, 2021
    Assignee: NISSAN MOTOR CO., LTD.
    Inventors: Keisuke Yamamoto, Satoru Moriya, Toshihide Asano, Tsuyoshi Sugimoto, Motoki Yaginuma, Yasuhiro Numao
  • Patent number: 10858736
    Abstract: An atomic layer deposition apparatus includes a chamber including a plurality of regions; and a heating device respectively providing specific temperature ranges for the plurality of regions. By flowing precursor gases at different flow rates in the different regions, thin films can be simultaneously formed in the different regions having different film thicknesses.
    Type: Grant
    Filed: December 6, 2016
    Date of Patent: December 8, 2020
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chia-Yi Chuang, Hsing-Jui Lee, Ming-Te Chen
  • Patent number: 10752987
    Abstract: Disclosed is a substrate processing system which enables combined static and pass-by processing. Also, a system architecture is provided, which reduces footprint size. The system is constructed such that the substrates are processed therein vertically, and each chamber has a processing source attached to one sidewall thereof, wherein the other sidewall backs to a complementary processing chamber. The chamber system can be milled from a single block of metal, e.g., aluminum, wherein the block is milled from both sides, such that a wall remains and separates each two complementary processing chambers.
    Type: Grant
    Filed: February 19, 2018
    Date of Patent: August 25, 2020
    Assignee: INTEVAC, INC.
    Inventors: Patrick Leahey, Eric Lawson, Charles Liu, Terry Bluck, Kevin P. Fairbairn, Robert L. Ruck, Samuel D. Harkness, IV
  • Patent number: 10738380
    Abstract: A deposition apparatus includes a chamber, a holding unit configured to hold a substrate in the chamber, a driving unit configured to move the holding unit holding the substrate such that the substrate passes through a deposition area in the chamber, a deposition unit configured to form a film on the substrate passing through the deposition area by supplying a deposition material to the deposition area, and a cooling unit configured to cool the holding unit.
    Type: Grant
    Filed: April 6, 2017
    Date of Patent: August 11, 2020
    Assignee: CANON ANELVA CORPORATION
    Inventors: Naoyuki Nozawa, Nobuo Matsuki, Reiji Sakamoto, Masahito Ishihara
  • Patent number: 10672633
    Abstract: The present invention discloses apparatuses and method for configuring a compartmentable equipment to accommodate emergency responses. An exemplary equipment comprises a plurality of removable compartments for storing workpieces so that in emergency events, such as power failure or equipment failure, the workpieces can be removed from the equipment for continuing processing without disrupting the flow of the fabrication facility. The compartmentable equipment can comprise emergency access ports, including mating interface to a portable workpiece removal equipment to allow accessing the individual compartments without compromising the quality, defects and yield of the workpieces stored in the stocker.
    Type: Grant
    Filed: June 16, 2014
    Date of Patent: June 2, 2020
    Assignee: DYNAMIC MICRO SYSTEMS SEMICONDUCTOR EQUIPMENT, GmbH
    Inventor: Lutz Rebstock
  • Patent number: 10566238
    Abstract: Methods of dicing semiconductor wafers, each wafer having a plurality of integrated circuits, are described. A method includes forming a mask above the semiconductor wafer, the mask including a layer covering and protecting the integrated circuits. The mask and a portion of the semiconductor wafer are patterned with a laser scribing process to provide a patterned mask and to form trenches partially into but not through the semiconductor wafer between the integrated circuits. Each of the trenches has a width. The semiconductor wafer is plasma etched through the trenches to form corresponding trench extensions and to singulate the integrated circuits. Each of the corresponding trench extensions has the width.
    Type: Grant
    Filed: November 16, 2018
    Date of Patent: February 18, 2020
    Assignee: Applied Materials, Inc.
    Inventors: Wei-Sheng Lei, Brad Eaton, Madhava Rao Yalamanchili, Saravjeet Singh, Ajay Kumar, James M. Holden
  • Patent number: 10508332
    Abstract: The present invention provides a technique for performing film formation at low cost without causing a short-circuit between sputtered films formed on opposite surfaces of a film-formation target substrate.
    Type: Grant
    Filed: August 8, 2017
    Date of Patent: December 17, 2019
    Assignee: ULVAC, INC.
    Inventors: Junsuke Matsuzaki, Hirohisa Takahashi
  • Patent number: 10236198
    Abstract: Methods of processing a plurality of substrates using a processing chamber with bottom and top openings and a plurality of processing slots are provided. A substrate positioned on a carrier is loaded into a first end of a processing chamber body through the bottom opening. The carrier is moved through a plurality of processing slots to a top opening at a second end of the chamber body and then removed from the processing chamber through the top opening.
    Type: Grant
    Filed: August 29, 2017
    Date of Patent: March 19, 2019
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Banqiu Wu, Nag B. Patibandla, Toshiaki Fujita, Ralf Hofmann, Pravin K. Narwankar, Jeonghoon Oh, Srinivas Satya, Li-Qun Xia
  • Patent number: 10170347
    Abstract: A substrate processing system for performing a process with respect to a plurality of substrates includes an annular process chamber configured to accommodate the plurality of substrates and to perform a predetermined process on the plurality of substrates, a cassette mounting part configured to mount a cassette which accommodates the plurality of substrates, and a substrate transfer mechanism configured to transfer the plurality of substrates between the annular process chamber and the cassette mounting part. The plurality of substrates is concentrically disposed within the annular process chamber in a plane view.
    Type: Grant
    Filed: February 27, 2015
    Date of Patent: January 1, 2019
    Assignee: TOKYO ELECTRON LIMITED
    Inventor: Yutaka Fujino
  • Patent number: 9842755
    Abstract: A system and method for treating a substrate in a reaction chamber. A transfer chamber is arranged between a first lock and a second lock, wherein the second lock is provided between the transfer chamber and the reaction chamber. A substrate is transferred into the transfer chamber through the first lock, and the first lock is closed. In a next step, the transfer chamber is flooded with the same gas as in the reaction chamber and the pressure and temperature of the gaseous atmosphere in the transfer chamber is controlled to be the same as in the reaction chamber. Then, the second lock is opened and the substrate is transferred from the transfer chamber into the reaction chamber to treat the substrate. A computer program product for carrying out the above method.
    Type: Grant
    Filed: February 18, 2014
    Date of Patent: December 12, 2017
    Assignee: Singulus Technologies AG
    Inventors: Berthold Ocker, Wolfram Maass
  • Patent number: 9808891
    Abstract: A tool and a method of reflow are provided. In various embodiments, the tool includes a chamber unit, a wafer lifting system, a heater, and an exhausting unit. The wafer lifting system is disposed in the chamber unit. The heater is coupled to the chamber unit, and configured to heat the wafer. The exhausting unit coupled to the chamber unit, and configured to exhaust gas in the chamber unit. The wafer lifting system is configured to receive and move the wafer in the chamber unit, and to provide a vertical distance between the heater and the wafer in the chamber unit.
    Type: Grant
    Filed: January 16, 2014
    Date of Patent: November 7, 2017
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Shih-Yen Chen, Tzi-Yi Shieh, Yuh-Sen Chang, Chung-Li Lee
  • Patent number: 9312163
    Abstract: An electrostatic chuck protection method includes providing an exposed chuck surface with a protective surface for preventing adherence of foreign materials including a substance exhibiting volatility in a vacuum environment, and removing the protective surface in order to perform a process of forming a substrate electrostatically held on the chuck surface with a surface layer including a substance having volatility in a vacuum chamber. The protective surface may be provided when a low vacuum pumping mode of operation is performed in a vacuum environment surrounding the chuck surface.
    Type: Grant
    Filed: July 13, 2012
    Date of Patent: April 12, 2016
    Assignees: SUMITOMO HEAVY INDUSTRIES, LTD., SEN CORPORATION
    Inventors: Masaru Tanaka, Masashi Kuriyama, Hiroki Murooka
  • Patent number: 9297065
    Abstract: A treatment installation for vacuum treatment of a front side of strip substrates includes first and second process chambers, each process chamber including a process roller and at least one process source. A transfer chamber arranged between the process chambers is coupled with both process chambers and can be separated in terms of pressure from at least one of the process chambers. The transfer chamber further includes an unwinding device with a removable unwinding reel and a winding-up device with a removable winding-up reel for the substrate and an outside air lock for loading and unloading the unwinding reel and/or winding-up reel. The rear side of the substrate faces the two process rollers and can be guided through the transfer chamber from the unwinding device to the first process roller, from the first process roller to the second process roller and from the second process roller to the winding-up device.
    Type: Grant
    Filed: February 26, 2008
    Date of Patent: March 29, 2016
    Assignee: LEYBOLD OPTICS GMBH
    Inventors: Wolfgang Fukarek, Bontscho Bontschew
  • Patent number: 9115425
    Abstract: Provided is a thin film depositing apparatus. The thin film depositing apparatus includes: a loading chamber loading a plurality of substrates; a first process chamber connected to the loading chamber and including a plurality of sputter guns inducing a first plasma on the plurality of substrates; a buffer chamber connected to the other side of the first process chamber facing the loading chamber; and a substrate transfer module simultaneously passing the plurality of substrates between the plurality of sputter guns during a process using the first plasma and transferring the plurality of substrates from the first process chamber to the buffer chamber.
    Type: Grant
    Filed: July 14, 2011
    Date of Patent: August 25, 2015
    Assignee: ELECTRONICS AND TELECOMMUNICATIONS RESEARCH INSTITUTE
    Inventor: Woo-Seok Cheong
  • Patent number: 9034157
    Abstract: An apparatus and associated method for reorienting the magnetic anisotropy of magnetic recording discs. A pallet that is moveable along a path of travel is also sized to selectively hold either a first magnetic recording disc of a first size or a second magnetic recording disc of a second size different than the first size. A first processing chamber in the path of travel is adapted for forming a soft underlayer (SUL) of magnetic material with non-radially oriented magnetic anisotropy on a substrate corresponding to one of the first and second magnetic recording discs. A second processing chamber in the path of travel downstream of the first processing chamber is adapted for selectively re-orienting the SUL's magnetic anisotropy via a magnetic source emanating a first magnetic field if the substrate corresponds to the first magnetic recording disc and emanating a different second magnetic field if the substrate corresponds to the second magnetic recording disc.
    Type: Grant
    Filed: September 14, 2012
    Date of Patent: May 19, 2015
    Assignee: Seagate Technology LLC
    Inventors: Paul S. McLeod, Charles F. Brucker, Jeffrey S. Reiter
  • Patent number: 9017524
    Abstract: A vacuum film formation method for forming at least one inorganic layer on a support, which comprise transporting a support of which the area of the surface to be coated with an inorganic layer formed thereon is a (unit: cm2) into a first vacuum tank having a capacity of at most 100a (unit: cm3) under atmospheric pressure, degassing the first vacuum tank into a vacuum, transporting the support from the first vacuum tank to a second vacuum tank while the vacuum condition is kept as such, and forming at least one inorganic layer on the support in the second vacuum tank.
    Type: Grant
    Filed: March 16, 2009
    Date of Patent: April 28, 2015
    Assignee: FUJIFILM Corporation
    Inventor: Naoki Tsukamoto
  • Publication number: 20150075979
    Abstract: There is described an intaglio printing plate coating apparatus (1) comprising a vacuum chamber (3) having an inner space (30) adapted to receive at least one intaglio printing plate (10) to be coated, a vacuum system (4) coupled to the vacuum chamber (3) adapted to create vacuum in the inner space (30) of the vacuum chamber (3), and a physical vapour deposition (PVD) system (5) adapted to perform deposition of wear-resistant coating material under vacuum onto an engraved surface (10a) of the intaglio printing plate (10), which physical vapour deposition system (5) includes at least one coating material target (51, 52) comprising a source of the wear-resistant coating material to be deposited onto the 32 engraved surface (10a) of the intaglio printing plate (10).
    Type: Application
    Filed: April 12, 2013
    Publication date: March 19, 2015
    Inventors: François Gremion, Laurent Claude
  • Patent number: 8961745
    Abstract: The plant is suitable to produce a semiconductor film (8) having a desired thickness and consisting substantially of a compound including at least one element for each of the groups 11, 13, and 16 of the periodic classification of elements. The plant comprises an outer case (1) embedding a chamber (2) divided into one deposition zone (2a) and one evaporation zone (2b), which are separated by a screen (3) interrupted by at least one cylindrical transfer member provided with actuation means rotating about its axis (5). To the deposition zone (2a) a magnetron device (7) is associated, for the deposition by sputtering of at least one element for each of the groups 11 and 13 on the side surface (?) of the cylindrical member that is in the deposition zone (2a). To the evaporation zone (2b) a cell (10) for the evaporation of at least one element of the group 16 is associated, and such an evaporation zone (2b) houses a substrate (8a) on which the film (8) is produced.
    Type: Grant
    Filed: January 28, 2014
    Date of Patent: February 24, 2015
    Assignee: VOLTASOLAR S.r.l.
    Inventors: Maurizio Filippo Acciarri, Simona Olga Binetti, Leonida Miglio, Maurilio Meschia, Raffaele Moneta, Stefano Marchionna
  • Publication number: 20150047969
    Abstract: A thin film encapsulation layer manufacturing apparatus is provided that may include a transfer chamber, a sputtering chamber, a monomer deposition chamber, a chemical vapor deposition (CVD) chamber, and an atomic layer deposition (ALD) chamber. The transfer chamber may be connected to each of the other chambers, and may be configured to align a substrate. Each of the other chambers may be configured to receive from and transfer to the transfer chamber a substrate. The sputtering chamber may be configured to form a first inorganic layer on the substrate by a sputtering process. The monomer deposition chamber may be configured to deposit a first organic layer on the first inorganic layer. The CVD chamber may be configured to form a second inorganic layer on the first organic layer. The ALD chamber may be configured to form a third inorganic layer on the second inorganic layer.
    Type: Application
    Filed: June 5, 2014
    Publication date: February 19, 2015
    Inventors: Yong-Suk LEE, Min-Sung SEO, Myung-Soo HUH, Mi-Ra AN
  • Patent number: 8945308
    Abstract: The present invention relates to a cluster tool for processing semiconductor substrates. One embodiment of the present invention provides a mainframe for a cluster tool comprising a transfer chamber having a substrate transferring robot disposed therein. The substrate transferring robot is configured to shuttle substrates among one or more processing chambers directly or indirectly connected to the transfer chamber. The mainframe further comprises a shutter disk shelf configured to store one or more shutter disks to be used by the one or more processing chambers, wherein the shutter disk shelf is accessible to the substrate transferring robot so that the substrate transferring robot can transfer the one or more shutter disks between the shutter disk shelf and the one or more processing chambers directly or indirectly connected to the transfer chamber.
    Type: Grant
    Filed: August 20, 2012
    Date of Patent: February 3, 2015
    Assignee: Applied Materials, Inc.
    Inventor: Jason Schaller
  • Publication number: 20150030846
    Abstract: To improve the single crystallinity of a stacked film in which a ZrO2 film and a Y2O3 film are stacked or a YSZ film. A crystal film includes a Zr film and a stacked film in which a ZrO2 film and a Y2O3 film formed on the Zr film are stacked, and has a peak half-value width when the stacked film is evaluated by X-ray diffraction being 0.05° to 2.0°.
    Type: Application
    Filed: July 18, 2014
    Publication date: January 29, 2015
    Inventors: Takeshi KIJIMA, Yuuji HONDA
  • Patent number: 8926806
    Abstract: The present disclosure is directed to a physical vapor deposition system configured to heat a semiconductor substrate or wafer. In some embodiments the disclosed physical vapor deposition system comprises at least one heat source having one or more lamp modules for heating of the substrate. The lamp modules may be separated from the substrate by a shielding device. In some embodiments, the shielding device comprises a one-piece device or a two piece device. The disclosed physical vapor deposition system can heat the semiconductor substrate, reflowing a metal film deposited thereon without the necessity for separate chambers, thereby decreasing process time, requiring less thermal budget, and decreasing substrate damage.
    Type: Grant
    Filed: January 23, 2012
    Date of Patent: January 6, 2015
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Ming-Chin Tsai, Bo-Hung Lin, You-Hua Chou, Chung-En Kao
  • Publication number: 20140353149
    Abstract: The present invention provides a TMR element manufacturing apparatus capable of reducing contamination of impurities in magnetic films. According to an embodiment of the present invention, a tunnel magneto-resistance element manufacturing apparatus includes: a load lock device to load and unload a substrate from and to an outside; a first substrate transfer device that is connected to the load lock device, at least one substrate process device being connected to the first substrate transfer device; a first evacuation unit provided in the first substrate transfer device; a second substrate transfer device that is connected to the first substrate transfer device, multiple substrate process devices being connected to the second substrate transfer device; and a second evacuation unit provided in the second substrate transfer device. At least one of the multiple substrate process devices connected to the second substrate transfer device is an oxidation device.
    Type: Application
    Filed: August 19, 2014
    Publication date: December 4, 2014
    Inventors: Takuya SEINO, Kazumasa NISHIMURA, Koji TSUNEKAWA, Eisaku WATANABE, Shigeo KANEKO
  • Patent number: 8882914
    Abstract: Substrate processing systems and methods are described for processing substrates having two or more regions. The processing includes one or more of molecular self-assembly and combinatorial processing. At least one of materials, processes, processing conditions, material application sequences, and process sequences is different for the processing in at least one region of the substrate relative to at least one other region of the substrate. Processing systems are described that include numerous processing modules. The modules include a site-isolated reactor (SIR) configured for one or more of molecular self-assembly and combinatorial processing of a substrate.
    Type: Grant
    Filed: May 5, 2006
    Date of Patent: November 11, 2014
    Assignee: Intermolecular, Inc.
    Inventors: Tony P. Chiang, David E. Lazovsky, Sandra G. Malhotra
  • Publication number: 20140302634
    Abstract: A method and apparatus for forming a solar cell. The apparatus includes a housing defining a vacuum chamber and a rotatable substrate apparatus configured to hold a plurality of substrates on a plurality of surfaces. A first sputtering source is configured to deposit a plurality of absorber layer atoms of a first type over at least a portion of a surface of each one of the plurality of substrates. An evaporation source is configured to deposit a plurality of absorber layer atoms of a second type over at least a portion of the surface of each one of the plurality of substrates.
    Type: Application
    Filed: June 23, 2014
    Publication date: October 9, 2014
    Inventors: Edward TENG, Ying-Chen CHAO, Chih-Jen YANG, Kuo-Jui HSIAO
  • Publication number: 20140262753
    Abstract: A thin-film deposition system and method based on separating the function of multiple material sources by locating the sources in separate chambers partitioned by a partition wall, and providing a substrate conveyer, such as a rotating platform, to cyclically convey a substrate between the partitioned chambers so that the materials from the separated sources are serially introduced to the substrate per cycle in isolation of each other for layer-by-layer deposition and/or reaction on the substrate.
    Type: Application
    Filed: March 15, 2013
    Publication date: September 18, 2014
    Inventor: Troy W. Barbee, JR.
  • Patent number: 8834088
    Abstract: Disclosed is a substrate processing system with a magnetic conduit configuration to improve the movement of a substrate carrier within the system. The configuration specifically provides for safe, secure movement of a carrier between multiple levels of a substrate processing system by using magnetic conduits to redirect magnetic forces created by a linear motor, permitting the linear motor to be positioned outside of the system and in a location that will not interfere with the movement of the carrier.
    Type: Grant
    Filed: November 12, 2007
    Date of Patent: September 16, 2014
    Assignee: Intevac, Inc.
    Inventor: Stuart Scollay
  • Patent number: 8808514
    Abstract: A magnetron sputtering apparatus comprising: a deposition chamber; a processing chamber in communication with the deposition chamber, wherein a target area composed of targets is located at the place where the processing chamber is connected with the deposition chamber; a transfer chamber provided adjacent to the processing chamber, wherein a first gas-tight gate is provided on a wall of the transfer chamber, the first gas-tight gate being opened or closed so as to control the vacuum degree in the transfer chamber and to replace the targets; a transfer device which is provided in the processing chamber and/or the transfer chamber, transfers the target between the transfer chamber and the processing chamber via a second gas-tight gate provided on the adjacent walls of the transfer chamber and the processing chamber for replacement when the transfer chamber is in a set vacuum degree state.
    Type: Grant
    Filed: April 21, 2011
    Date of Patent: August 19, 2014
    Assignee: Beijing Boe Optoelectronics Technology Co., Ltd.
    Inventor: Zhenyu Xie
  • Patent number: 8795466
    Abstract: Apparatus and methods are provided that enable processing of patterned layers on substrates using a detachable mask. Unlike prior art where the mask is formed directly over the substrate, according to aspects of the invention the mask is made independently of the substrate. During use, the mask is positioned in close proximity or in contact with the substrate so as to expose only portions of the substrate to processing, e.g., sputtering or etch. Once the processing is completed, the mask is moved away from the substrate and may be used for another substrate. The substrate may be cycled for a given number of substrates and then be removed for cleaning or disposal.
    Type: Grant
    Filed: June 30, 2009
    Date of Patent: August 5, 2014
    Assignee: Intevac, Inc.
    Inventors: Michael S. Barnes, Terry Bluck
  • Patent number: 8758513
    Abstract: A processing apparatus includes a loading chamber; a buffer chamber connected to the loading chamber; a first process chamber connected to the buffer chamber; and an unloading chamber connected to the first process chamber, wherein a processing path through the processing apparatus is a forward in-line path in a direction through the loading chamber, the buffer chamber, the first process chamber, and the unloading chamber.
    Type: Grant
    Filed: December 15, 2006
    Date of Patent: June 24, 2014
    Assignees: LG Display Co., Ltd., Avaco Co., Ltd., LG Electronics Inc.
    Inventors: Jin Seok Lee, Hyuk Sang Yoon, Hwan Kyu Yoo, Byeong Eok Hwang
  • Patent number: 8747627
    Abstract: The invention relates to a method and to a device for reversing the feeding of a sputter coating system, particularly when coating a photovoltaic module, in clean rooms, having the following characteristics: a) a transport frame (11) for receiving a substrate wafer (19) of a photovoltaic module, b) a rotary device having means for mounting the transport frame (11), having means for rotating the transport frame (11), and having means for transporting the transport frame (11), c) means for precisely aligning the rotary device relative to the sputter coating system, d) a detection device (18) for checking a sputter process, and computer program having a program code for performing the process steps.
    Type: Grant
    Filed: November 26, 2009
    Date of Patent: June 10, 2014
    Assignee: Grenzebach Maschinenbau GmbH
    Inventor: Roland Franz
  • Patent number: 8715417
    Abstract: The invention provides a multi-film forming apparatus including a substrate holder stock chamber for storing a plurality of substrate holders separately from a path in the multi-film forming apparatus, so that production can be performed without being affected by the process of removing a film accumulated on the surface of the substrate holder and the process of replacing the substrate holder, or by the process of removing a film accumulated on the surface of the substrate holder or the process of replacing the substrate holder, and hence high-throughput production is possible. A branch path is provided on the path of the multi-film forming apparatus, and a substrate holder stock chamber for storing a plurality of substrate holders which enables retrieval of the substrate holder from the path and feeding of the substrate holder to the path is provided.
    Type: Grant
    Filed: January 18, 2013
    Date of Patent: May 6, 2014
    Assignee: Canon Anelva Corporation
    Inventors: Shinji Furukawa, Masahiro Shibamoto
  • Patent number: 8679307
    Abstract: An apparatus for preparing specimens for microscopy including equipment for providing two or more of each of the following specimen processing activities under continuous vacuum conditions: plasma cleaning the specimen, ion beam or reactive ion beam etching the specimen, plasma etching the specimen and coating the specimen with a conductive material. Also, an apparatus and method for detecting a position of a surface of the specimen in a processing chamber, wherein the detected position is used to automatically move the specimen to appropriate locations for subsequent processing.
    Type: Grant
    Filed: August 1, 2003
    Date of Patent: March 25, 2014
    Assignee: E.A. Fischione Instruments, Inc.
    Inventors: Paul E. Fischione, Alan C. Robins, David W. Smith, Rocco R. Cerchiara, Joseph M. Matesa, Jr.
  • Patent number: 8668816
    Abstract: A magnetron sputter reactor for sputtering deposition materials such as tantalum, tantalum nitride and copper, for example, and its method of use, in which self-ionized plasma (SIP) sputtering and inductively coupled plasma (ICP) sputtering are promoted, either together or alternately, in the same or different chambers. Also, bottom coverage may be thinned or eliminated by ICP resputtering in one chamber and SIP in another. SIP is promoted by a small magnetron having poles of unequal magnetic strength and a high power applied to the target during sputtering. ICP is provided by one or more RF coils which inductively couple RF energy into a plasma. The combined SIP-ICP layers can act as a liner or barrier or seed or nucleation layer for hole. In addition, an RF coil may be sputtered to provide protective material during ICP resputtering. In another chamber an array of auxiliary magnets positioned along sidewalls of a magnetron sputter reactor on a side towards the wafer from the target.
    Type: Grant
    Filed: October 31, 2007
    Date of Patent: March 11, 2014
    Assignee: Applied Materials Inc.
    Inventors: Peijun Ding, Rong Tao, Zheng Xu, Daniel C. Lubben, Suraj Rengarajan, Michael A. Miller, Arvind Sundarrajan, Xianmin Tang, John C. Forster, Jianming Fu, Roderick C. Mosely, Fusen Chen, Praburam Gopalraja
  • Patent number: 8641824
    Abstract: A fab can be constructed as a round or rectangular annular tube with a primary cleanspace located in-between its inner and outer tubes. The fab can be encircled with levels upon which tools can be densely packed while preserving unidirectional air flow. If only tool ports are inside, and robotics are used, primary cleanspace size can be minimized. Highly simplified robotics can be used. Tools can be removed and repaired centrally. A secondary cleanspace can be added for tool bodies. Multilevel construction enhances use of prefabricated units for fab build or maintenance. Curves or folds, applied to a conventional planar cleanroom, can construct a wide range of fab geometries, including a tubular non-annular fab. A fab can also be constructed according to a curved or non-curved sectional cut of an annular tube. A novel fab, of a non-curved section, can include a nonsegmented cleanspace or have its tools vertically stacked.
    Type: Grant
    Filed: October 31, 2007
    Date of Patent: February 4, 2014
    Inventor: Frederick A. Flitsch
  • Patent number: 8623765
    Abstract: A processed object processing apparatus which enables a plurality of processes to be carried out efficiently. A plurality of treatment systems are communicably connected together in a line and in which the objects to be processed are processed. A load lock system is communicably connected to the treatment systems and has a transfer mechanism that transfers the objects to be processed into and out of each of the treatment systems. At least one of the treatment systems is a vacuum treatment system, and the load lock system is disposed in a position such as to form a line with the treatment systems.
    Type: Grant
    Filed: August 17, 2009
    Date of Patent: January 7, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Jun Ozawa, Gaku Takahashi
  • Patent number: 8602706
    Abstract: A semiconductor workpiece processing apparatus having a first chamber, a transport vehicle, and another chamber. The first chamber is capable of being isolated from an outside atmosphere. The transport vehicle is located in the first chamber and is movably supported from the first chamber for moving linearly relative to the first chamber. The transport vehicle includes a base, and an integral semiconductor workpiece transfer arm movably mounted to the base and capable of multi-access movement relative to the base. The other chamber is communicably connected to the first chamber via a closable opening of the first chamber. The opening is sized to allow the transport vehicle to transit between the first chamber and the other chamber through the opening.
    Type: Grant
    Filed: August 17, 2009
    Date of Patent: December 10, 2013
    Assignee: Brooks Automation, Inc.
    Inventors: Christopher Hofmeister, Robert T. Caveney
  • Patent number: 8591710
    Abstract: A method of forming a layer of a magnetic material with radially oriented magnetic anisotropy, comprising sequential steps of providing a circular, annular disk-shaped substrate having an inner diameter and an outer diameter, forming a layer of a magnetic material with non-radially oriented magnetic anisotropy over at least one surface of the substrate, and re-orienting the magnetic anisotropy in a radial direction. Preferably, the re-orientation is performed magnetically and the radially oriented layer serves as a magnetically soft underlayer (SUL) of a magnetic recording medium. Also disclosed is a multi-chamber apparatus for performing the disclosed process.
    Type: Grant
    Filed: June 2, 2005
    Date of Patent: November 26, 2013
    Assignee: Seagate Tchnology LLC
    Inventors: Paul S. McLeod, Charles F. Brucker, Jeffrey S. Reiter
  • Publication number: 20130277207
    Abstract: The present invention provides a manufacturing apparatus which can realize so-called sequential substrate transfer and can improve throughput, even when one multi-layered thin film includes plural layers of the same film type. A manufacturing apparatus according to an embodiment of the present invention includes a transfer chamber, three sputtering deposition chambers each including one sputtering cathode, two sputtering deposition chambers each including two or more sputtering cathodes, and a process chamber for performing a process other than sputtering, and the three sputtering deposition chambers, the two sputtering deposition chambers, and the process chamber are arranged around the transfer chamber so that each is able to perform delivery and receipt of the substrate with the transfer chamber.
    Type: Application
    Filed: June 17, 2013
    Publication date: October 24, 2013
    Inventor: Koji TSUNEKAWA