Multi-chamber (e.g., Including Air Lock, Load/unload Chamber, Etc.) Patents (Class 204/298.25)
  • Patent number: 7922882
    Abstract: In holding a substrate p loaded at a specified position on a holder 30 by clamping a peripheral region of the substrate p against the holder 30 through a plurality of engagement elements 32, it can be avoided that when the substrate p is offset from the specified position and its peripheral region gets on one or some of the engagement elements 32, the substrate p is processed with the peripheral region getting on the engagement element 32. A substrate holding device includes: a plurality of detector sensors 80 for detecting the peripheral region of the substrate p if the substrate p is placed at the specified position on the holder 30; and a determination unit 90 for determining that the substrate p is offset from the specified position when at least one of the detector sensors 80 did not detect the associated part of the substrate p.
    Type: Grant
    Filed: November 17, 2005
    Date of Patent: April 12, 2011
    Assignee: Sharp Kabushiki Kaisha
    Inventor: Yasuzou Yoshida
  • Publication number: 20110073472
    Abstract: A coating apparatus includes a housing, a sputter mechanism, an evaporation mechanism, and a workpiece transport assembly. The housing defines a receiving space. The workpiece transport assembly includes a fixing plate, a first transport member, and a first shaft. The fixing plate is secured to the housing via the receiving space and divides the receiving space into a sputter chamber and an evaporation chamber. The sputter mechanism is mounted in the sputter chamber, and the evaporation mechanism is mounted in the evaporation chamber. The fixing plate defines a through hole. The sputter chamber communicates with the evaporation chamber via the through hole. The first transport member is configured to transport at least one workpiece. The first shaft is secured to the first transport member and rotatably mounted to the housing.
    Type: Application
    Filed: April 30, 2010
    Publication date: March 31, 2011
    Applicant: HON HAI PRECISION INDUSTRY CO., LTD.
    Inventor: Shao-Kai Pei
  • Publication number: 20110056830
    Abstract: A sputtering type deposition apparatus includes a chamber device and a target. The chamber device defines an access chamber, a first entrance from exterior to the access chamber, a depositing chamber, and a second entrance from the access chamber to the depositing chamber. The chamber device includes an access door, a separating door, and a carrier. The access door is disposed at the first entrance and configured to open or close the first entrance. The separating door is disposed at the second entrance and configured to open or close the second entrance. The carrier is disposed in the access chamber and configured to carry a substrate from the access chamber to the depositing chamber. The target is received in the depositing chamber.
    Type: Application
    Filed: April 25, 2010
    Publication date: March 10, 2011
    Applicant: HON HAI PRECISION INDUSTRY CO., LTD.
    Inventors: Chung-Pei Wang, Chia-Ying Wu
  • Patent number: 7901539
    Abstract: There is described apparatus and methods for transporting and processing substrates including wafers as to efficiently produce at reasonable costs improved throughput as compared to systems in use today. A key element is the use of a transport chamber along the sides of processing chambers for feeding substrates into a controlled atmosphere through a load lock and then along a transport chamber as a way of reaching processing chambers and then out of the controlled atmosphere following processing in the processing chambers.
    Type: Grant
    Filed: September 19, 2006
    Date of Patent: March 8, 2011
    Assignee: Intevac, Inc.
    Inventors: Terry Bluck, Kevin P Fairbairn, Michael S. Barnes, Christopher T. Lane
  • Patent number: 7837836
    Abstract: A method of forming a uniform thickness layer of a selected material on a surface of a substrate comprises steps of: (a) providing a multi-stage cathode sputtering apparatus comprising a group of spaced-apart cathode/target assemblies and a means for transporting at least one substrate/workpiece past each cathode/target assembly, each cathode/target assembly comprising a sputtering surface oriented substantially parallel to the first surface of the substrate during transport past the group of cathode/target assemblies, the group of cathode/target assemblies adapted for providing different angular sputtered film thickness profiles; and (b) transporting the substrate past each cathode/target assembly while providing different sputtered film thickness profiles from at least some of the cathode/target assemblies, such that a plurality of sub-layers is deposited on the surface of the substrate/workpiece which collectively form a uniform thickness layer of the selected material.
    Type: Grant
    Filed: February 12, 2004
    Date of Patent: November 23, 2010
    Assignee: Seagate Technology LLC
    Inventors: Rajiv Yadav Ranjan, Jeffrey Shane Reiter, Thomas Patrick Nolan
  • Patent number: 7837799
    Abstract: An arrangement for transporting a flat substrate through a coating installation, wherein the coating installation comprises, e.g., several and different sputter cathodes, to which the flat substrate, for example a glass pane, is transported one after the other in vacuo. So that no abrasion is generated between glass pane and contact, the glass pane is kept spaced apart from the contact by means of gas pressure. The gas pressure is herein built up through relatively few and small holes in a gas channel. Since during flooding of the coating installation to atmospheric pressure or during evacuation, due to the small holes, no fast pressure equalization between gas channel and the remaining coating installation is possible, the gas channel is decoupled in terms of gas from the remaining coating installation and provided with a separate gas line, via which gas can be introduced into the gas channel or pumped out of it.
    Type: Grant
    Filed: December 10, 2003
    Date of Patent: November 23, 2010
    Assignee: Applied Materials GmbH & Co. KG
    Inventors: Stefan Bangert, Frank Fuchs, Uwe Schuessler, Ralph Lindenberg, Tobias Stolley
  • Publication number: 20100282413
    Abstract: A method for treating/processing substrates/workpieces in a multi-chamber treatment/processing apparatus, comprising: providing a multi-chamber treatment/processing apparatus comprising at least a pair of operatively interconnected upstream and downstream treatment/processing chambers; providing each of the chambers with at least one substrate/workpiece; treating/processing the at least one substrate/workpiece positioned in each of the chambers; evacuating process gas from each of the chambers during or upon completion of the treating/processing of the at least one substrate/workpiece positioned therein; removing the at least one substrate/workpiece from the downstream treatment/processing chamber and initiating transport of the at least one substrate/workpiece from the upstream treatment/processing chamber to the downstream treatment/processing chamber, comprising initiating a flow of the process gas to the evacuated downstream treatment/processing chamber prior to completion of transport of the substrate
    Type: Application
    Filed: July 23, 2010
    Publication date: November 11, 2010
    Applicant: SEAGATE TECHNOLOGY LLC
    Inventors: Thomas Patrick Nolan, Jeffrey Shane Reiter
  • Patent number: 7828900
    Abstract: A vacuum film-forming apparatus comprising substrate stages; vacuum chamber-forming containers opposed to the stages; a means for moving the substrate between the stages; and gas-introduction means connected to every containers, wherein one of the stage and the container is ascended or descended towards the other to bring the upper face of the stage and the opening of the container into contact with one another so that vacuum chambers can be formed and that a raw gas and/or a reactant gas can be introduced into each space of the chamber through each gas-introduction means to carry out either the adsorption or reaction step for allowing the raw gas to react with the reactant gas. The apparatus permits the independent establishment of process conditions for the adsorption and reaction processes and the better acceleration of the reaction between raw and reactant gases to give a film having excellent quality and the apparatus can be manufactured at a low cost.
    Type: Grant
    Filed: April 29, 2008
    Date of Patent: November 9, 2010
    Assignee: ULVAC, Inc.
    Inventors: Masanobu Hatanaka, Michio Ishikawa, Se-Ju Lim, Fumio Nakamura
  • Patent number: 7824497
    Abstract: An apparatus for manufacturing a magnetic recording disk includes a magnetic-film deposition chamber in which a magnetic film for a recording layer is deposited on a substrate; a lubricant-layer preparation chamber in which a lubricant layer is prepared on the substrate in vacuum; and a cleaning chamber in which the substrate is cleaned in vacuum after the magnetic-film deposition in the magnetic-film chamber and before the lubricant-layer preparation in the lubricant-layer chamber. The apparatus may further include a transfer system that transfers the substrate from the cleaning chamber to the lubricant-layer preparation chamber without exposing the substrate to the atmosphere.
    Type: Grant
    Filed: February 25, 2008
    Date of Patent: November 2, 2010
    Assignee: Canon Anelva Corporation
    Inventors: Naoki Watanabe, Nobuyoshi Watanabe, Kazunori Tani, Shinji Furukawa, Hiromi Sasaki, Osamu Watabe
  • Publication number: 20100267231
    Abstract: An apparatus and method for the ultraviolet (UV) treatment of carbon-containing low-k dielectric enables process-induced damage repair. A semiconductor substrate processing system may be configured to include degas and plasma pre-clean modules, UV process modules, copper diffusion barrier deposition modules and copper seed deposition modules such that the substrate is held under vacuum and is not exposed to ambient air after low k damage repair and before copper barrier layer deposition. Inventive methods provide for treatment of a damaged low-k dielectric on a semiconductor substrate with UV radiation to repair processing induced damage and barrier layer deposition prior breaking vacuum.
    Type: Application
    Filed: March 17, 2010
    Publication date: October 21, 2010
    Inventors: Bart van Schravendijk, Victoria Shannon Benzing
  • Publication number: 20100242842
    Abstract: An evaporation system for forming evaporation films on a substrate film, includes: a first drive portion which is driven to rotate to thereby feed out the substrate film; a second drive portion which is driven to rotate to thereby take up the substrate film conveyed to the second drive portion; film-forming rollers which are provided on a conveyance path of the substrate film conveyed between the first drive portion and the second drive portion and which support one surface of the substrate film onto circumferential surfaces of the film-forming rollers; evaporation portions which form the evaporation films on a surface of the substrate film opposite to the surface supported by the film-forming rollers; and a third drive portion as defined herein.
    Type: Application
    Filed: February 18, 2010
    Publication date: September 30, 2010
    Applicant: FUJIFILM Corporation
    Inventors: Hideaki Takeuchi, Junji Nakada
  • Patent number: 7780821
    Abstract: A method for treating/processing substrates/workpieces in a multi-chamber treatment/processing apparatus, comprising: providing a multi-chamber treatment/processing apparatus comprising at least a pair of operatively interconnected upstream and downstream treatment/processing chambers; providing each of the chambers with at least one substrate/workpiece; treating/processing the at least one substrate/workpiece positioned in each of the chambers; evacuating process gas from each of the chambers during or upon completion of the treating/processing of the at least one substrate/workpiece positioned therein; removing the at least one substrate/workpiece from the downstream treatment/processing chamber and initiating transport of the at least one substrate/workpiece from the upstream treatment/processing chamber to the downstream treatment/processing chamber, comprising initiating a flow of the process gas to the evacuated downstream treatment/processing chamber prior to completion of transport of the substrate
    Type: Grant
    Filed: August 2, 2004
    Date of Patent: August 24, 2010
    Assignee: Seagate Technology LLC
    Inventors: Thomas Patrick Nolan, Jeffrey Shane Reiter
  • Patent number: 7776192
    Abstract: An elongate vacuum system for coating one or both sides of a flat substrate which can be displaced by the system, comprises at least one magnetron provided with a magnetron surrounding area and is subdivided into successive compartments in the direction of transportation of the substrate by separating walls having closeable suction openings. The compartments can be evacuated either directly by a vacuum connection provided on the compartment or indirectly via a suction opening in the separating wall. At least one compartment comprises an upper partial compartment which is arranged above the substrate. The partial compartment comprises a closeable upper opening in at least one of the outer walls thereof. The aim is to produce an elongate coating system which is flexible to use according to the requirements of various one and two-sided coating processes and ensures a stable, differential and process-optimized sputter atmosphere.
    Type: Grant
    Filed: November 4, 2004
    Date of Patent: August 17, 2010
    Assignee: Von Ardenne Anlagentechnik GmbH
    Inventors: Wolfgang Erbkamm, Dietmar Schulze, Jens Melcher, Olaf Gawer
  • Patent number: 7763550
    Abstract: A layer is formed on a semiconductor wafer in an apparatus having a processing chamber, a transferring chamber, and a wafer boat. The boat having the semiconductor wafer thereon is rotated in the transferring chamber. While the boat is rotated, the boat is transferred between the transferring chamber and the processing chamber and a reaction gas is provided to the processing chamber to form the layer on the wafer.
    Type: Grant
    Filed: February 18, 2005
    Date of Patent: July 27, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Ji-Sang Yahng, Young-Wook Park, Jae-Jong Han, Jum-Soo Chang
  • Publication number: 20100184249
    Abstract: A continuous deposition process and apparatus for depositing semiconductor layers containing cadmium, tellurium or sulfur as a principal constituent on transparent substrates to form photovoltaic devices as the substrates are continuously conveyed through the deposition apparatus is described. The film deposition process for a photovoltaic device having an n-type window layer and three p-type absorber layers in contiguous contact is carried out by a modular continuous deposition apparatus which has a plurality of processing stations connected in series for depositing successive layers of semiconductor films onto continuously conveying substrates. The fabrication starts by providing an optically transparent substrate coated with a transparent conductive oxide layer, onto which an n-type window layer formed of CdS or CdZnS is sputter deposited. After the window layer is deposited, a first absorber layer is deposited thereon by sputter deposition.
    Type: Application
    Filed: March 28, 2009
    Publication date: July 22, 2010
    Inventor: Yung-Tin Chen
  • Patent number: 7749364
    Abstract: Methods and coaters for applying films onto a substrate (e.g., a large-area glass substrate) are disclosed. Certain embodiments involve a coater for applying thin films onto a sheet-like substrate. The coater in some embodiments has a transport system adapted for conveying the substrate along a path of substrate travel extending through the coater. The substrate transport system in certain embodiments includes an upward coating deposition gap. The coater preferably has a source of coating material adapted for delivering coating material upwardly through such gap and onto a bottom major surface of the substrate as the substrate is conveyed along a desired portion of the path of substrate travel, which portion of the path of substrate travel extends over the upward coating deposition gap.
    Type: Grant
    Filed: August 29, 2005
    Date of Patent: July 6, 2010
    Assignee: Cardinal CG Company
    Inventor: Klaus Hartig
  • Patent number: 7744731
    Abstract: A sputtering deposit apparatus capable of depositing a thin film having uniform sheet resistance value is provided. The sputtering deposit apparatus is arranged with at least two magnetron sputtering units within a film deposit chamber. On the upstream side in the substrate transfer direction 43 of the target shield 55 provided on the magnetron sputtering unit disposed on the most upstream side in the substrate transfer direction, of at least the two magnetron sputtering units, there is disposed the first cathode shield 62 which is electrically insulated.
    Type: Grant
    Filed: December 17, 2008
    Date of Patent: June 29, 2010
    Assignee: Canon Anelva Corporation
    Inventor: Masao Sasaki
  • Patent number: 7744730
    Abstract: A processing system is described for depositing materials on multiple workpieces (wafers, display panels, or any other workpieces) at a time in a vacuum chamber. Multiple targets, of the same or different materials, may concurrently deposit material on the wafers as the pallet is rotating. Multiple magnets (one for each target) in the magnetron assembly in the sputtering chamber oscillate back and forth across an arc over their respective targets for uniform target erosion and uniform deposition on the wafers.
    Type: Grant
    Filed: April 14, 2005
    Date of Patent: June 29, 2010
    Assignee: Tango Systems, Inc.
    Inventors: Ravi Mullapudi, Edward Strepka
  • Patent number: 7738987
    Abstract: Depending on the degree of microfabrication requested for each wafer lot, transfer of wafers is controlled. A substrate processing apparatus includes a plurality of PMs 400 and an LLM 500 and is controlled by an EC 200. The EC 200 includes a selection unit 255 and a transfer control unit 260. The unit 255 selects the PM to which the next wafer is to be transferred, and selects, for each lot, whether the wafers are transferred to the same PM in one-lot units or in one-substrate units depending on the degree of the microfabrication requested for each lot. When the wafer transfer in lot units is selected, the unit 260 sequentially transfers the wafers included in the lot to the selected PM. Otherwise the unit 260 sequentially OR transfers the wafers included in the lot from the selected PM to a different PM one by one.
    Type: Grant
    Filed: November 27, 2007
    Date of Patent: June 15, 2010
    Assignee: Tokyo Electron Limited
    Inventor: Masahiro Numakura
  • Patent number: 7733613
    Abstract: A magnetic disk apparatus having a highly sensitive reproducing head and a method for manufacturing the magnetic disk apparatus are disclosed. A spin-value-type multilayer film composed of an antiferromagnetic layer, a ferromagnetic layer, a nonmagnetic layer and a free magnetic layer is used as a magnoresistive-effect device for the reproducing head. An antiferromagnetic reaction layer is formed between the antiferromagnetic layer and the ferromagnetic layer. The antiferromagnetic reaction layer is formed of a metallic compound containing oxygen.
    Type: Grant
    Filed: October 27, 2008
    Date of Patent: June 8, 2010
    Assignee: Panasonic Corporation
    Inventor: Akifumi Aono
  • Publication number: 20100120238
    Abstract: A first aspect of the present invention provides a semiconductor manufacturing apparatus including: a load lock chamber; a transfer chamber; and a treatment chamber 1 and a treatment chamber 2 which carry out treatment using plasma, wherein, in the treatment chamber 2, an exhaust means is provided with a control means for making an oxygen partial pressure into 1*10?5 [Pa] or less.
    Type: Application
    Filed: December 4, 2009
    Publication date: May 13, 2010
    Applicant: CANON ANELVA CORPORATION
    Inventors: Naomu Kitano, Takashi Minami, Motomu Kosuda, Heiji Watanabe
  • Patent number: 7695598
    Abstract: A coater having a substrate cleaning device is disclosed. The substrate cleaning device comprises an ion gun (i.e., an ion source) that is positioned beneath a path of substrate travel (e.g., beneath a substrate support) extending through the coater and that is adapted for treating a bottom major surface of a substrate. Certain embodiments involve an upward coating apparatus that is further along the path of substrate travel than the substrate cleaning device. In some embodiments of this nature, the upward coating apparatus is configured for depositing a photocatalytic coating upwardly onto the bottom major surface of the substrate. Certain embodiments of the invention involve a downward coating apparatus, wherein the substrate cleaning device is further along the path of substrate travel than the downward coating apparatus. Some embodiments involve an upward coating apparatus that is further along the path of substrate travel than the substrate cleaning device.
    Type: Grant
    Filed: May 18, 2007
    Date of Patent: April 13, 2010
    Assignee: Cardinal CG Company
    Inventor: Klaus Hartig
  • Patent number: 7682496
    Abstract: One embodiment of the present invention is an apparatus for depositing seed layers over a substrate, said substrate includes at least one opening surrounded by a field, the apparatus includes: (a) a CVD chamber adapted to deposit a CVD seed layer over the substrate; (b) a PVD chamber adapted to deposit a PVD seed layer over the substrate; and (c) a controller which includes recipe information, said recipe information includes deposition sequence and process parameters for operation of the deposition chambers, wherein the controller, in response to the recipe information, causes first the CVD chamber to deposit a CVD seed layer over the substrate and then causes the PVD chamber to deposit a PVD seed layer over the CVD seed layer, wherein (i) at least one of the seed layers comprises a material selected from a group consisting of Cu, Ag, or alloys comprising one or more of these metals, (ii) the CVD seed layer having a thickness of less than about 200 ? over the field, (iii) the PVD seed layer having a thicknes
    Type: Grant
    Filed: March 28, 2006
    Date of Patent: March 23, 2010
    Inventor: Uri Cohen
  • Publication number: 20100038234
    Abstract: A multilayer thin film formation method and a multilayer thin film formation apparatus that improve dielectric characteristics and piezoelectric characteristics of a thin film formed from a lead-based perovskite complex oxide. The multilayer thin film formation method includes formation of a lower electrode layer (32b) containing a noble metal above a substrate (S) by sputtering a lower electrode layer target (TG2), and superposing a lead-based complex oxide layer (33) on the lower electrode layer (32b) by sputtering an oxide layer target (TG3) containing lead. The lower electrode layer (32b) has a thickness restricted to 10 to 30 nm, and the lead-based complex oxide layer (33) has a thickness restricted to 0.2 and 5.0 ?m.
    Type: Application
    Filed: December 17, 2007
    Publication date: February 18, 2010
    Applicant: ULVAC, INC.
    Inventors: Isao Kimura, Takehito Jinbo, Shin Kikuchi, Yutaka Nishioka, Koukou Suu
  • Publication number: 20100003768
    Abstract: Apparatus and methods are provided that enable processing of patterned layers on substrates using a detachable mask. Unlike prior art where the mask is formed directly over the substrate, according to aspects of the invention the mask is made independently of the substrate. During use, the mask is positioned in close proximity or in contact with the substrate so as to expose only portions of the substrate to processing, e.g., sputtering or etch. Once the processing is completed, the mask is moved away from the substrate and may be used for another substrate. The substrate may be cycled for a given number of substrates and then be removed for cleaning or disposal.
    Type: Application
    Filed: June 30, 2009
    Publication date: January 7, 2010
    Applicant: INTEVAC, INC.
    Inventors: Michael S. BARNES, Terry BLUCK
  • Publication number: 20090252591
    Abstract: An in-line apparatus includes a loader chamber loading and unloading a substrate, a plurality of process chambers coupled in series to the loader chamber, and respectively and sequentially performing predetermined processes for the substrate, and at least one buffer chamber disposed in parallel to the process chambers, wherein the buffer chamber replaces at least one process chamber to transfer the substrate therethrough.
    Type: Application
    Filed: April 1, 2009
    Publication date: October 8, 2009
    Inventor: Nak-Do JUNG
  • Publication number: 20090218219
    Abstract: A manufacturing apparatus in which an organic thin film such as a light emitting layer is formed with high material use efficiency or high operating efficiency and a light emitting device is manufactured, is provided. The manufacturing apparatus includes a load chamber, a common chamber connected to the load chamber, a plurality of treatment chambers connected to the common chamber, and a laser light source, in which deposition is selectively performed on a first substrate by forming a material layer on a second substrate in the treatment chamber in advance; aligning the second substrate and the first substrate in the common chamber; and then scanning the second substrate with laser light. In the manufacturing apparatus, selective deposition is performed on the first substrate more than once in the common chamber.
    Type: Application
    Filed: February 24, 2009
    Publication date: September 3, 2009
    Inventor: Shunpei Yamazaki
  • Patent number: 7575406
    Abstract: A semiconductor workpiece processing apparatus having a first chamber, a transport vehicle, and another chamber. The first chamber is capable of being isolated from an outside atmosphere. The transport vehicle is located in the first chamber and is movably supported from the first chamber for moving linearly relative to the first chamber. The transport vehicle includes a base, and an integral semiconductor workpiece transfer arm movably mounted to the base and capable of multi-access movement relative to the base. The other chamber is communicably connected to the first chamber via a closable opening of the first chamber. The opening is sized to allow the transport vehicle to transit between the first chamber and the other chamber through the opening.
    Type: Grant
    Filed: July 22, 2003
    Date of Patent: August 18, 2009
    Assignee: Brooks Automation, Inc.
    Inventors: Christopher Hofmeister, Robert T. Caveney
  • Publication number: 20090139864
    Abstract: To provide a vertical substrate transfer apparatus and a film-forming apparatus capable of, regardless of a carrying position of a substrate, subjecting either surface thereof to film-formation, and capable of supporting and carrying the substrate without interfering with a non-film-forming surface. A film-forming apparatus (1) according to the present invention includes a carrier (15) giving support so that either surface of a substrate (W) is processable, a first position changing section (3) changing a carrying position of the carrier (15), and a carrying chamber (9) housing the carrier, whose position is changed therein and which carries the carrier to a film-forming chamber (10). With the above construction, it becomes possible to subject either surface to film-forming processing regardless of the carrying position of the substrate (W). Further, it becomes possible to change a film-forming surface (Wa) in the course of carrying the substrate (W).
    Type: Application
    Filed: April 11, 2007
    Publication date: June 4, 2009
    Inventors: Hajime Nakamura, Mayako Taniguchi, Koji Ishino, Takaaki Shindou, Junichirou Tsutsui, Yukio Kikuchi, Kazuya Saitou
  • Patent number: 7537676
    Abstract: A system and method for sputtering having a substrate holder, the target-cathode and the shield that are all electrically isolated from each other and are all capable of independently being subjected to different voltages. The substrate holder can be a pallet that holds a plurality of substrates. The system further includes a plurality of target-cathodes and shields disposed along the path of travel of the moving substrate holder, and a controller configured to selectively vary the target-cathode voltage, the shield voltage, and the pallet bias voltage while the pallet moves along the path of travel. The target-cathodes and shields are spaced apart along the path of travel by a distance less than a length of the pallet and on both sides of the path of travel. The controller can include a timing circuit for synchronizing changes in the target-cathode voltages with changes in the pallet bias voltage and shield voltage.
    Type: Grant
    Filed: May 12, 2004
    Date of Patent: May 26, 2009
    Assignee: Seagate Technology LLC
    Inventors: Thomas Larson Greenberg, Paul Stephen McLeod
  • Patent number: 7521089
    Abstract: Method and apparatus for controlling the migration of reaction by-product gases from a chemical vapor deposition (CVD) process chamber to a transfer vacuum chamber shared by other process chambers. Separate regulated flows of purge gas are provided to the CVD process chamber and the transfer vacuum chamber before establishing a pathway for substrate transfer. A pressure differential is created between the transfer vacuum chamber and the CVD process chamber that reduces or prevents the migration of CVD reaction by-product gases arising from the establishment of the substrate transfer pathway. While the pathway is established, a directional flow of purge gas is maintained from the transfer vacuum chamber into the CVD process chamber.
    Type: Grant
    Filed: June 13, 2002
    Date of Patent: April 21, 2009
    Assignee: Tokyo Electron Limited
    Inventors: Joseph T. Hillman, John G. North, Steven P. Caliendo, John J. Hautala
  • Patent number: 7517438
    Abstract: This invention presents a magnetic recording disk where an anisotropy-allowing layer to allow magnetic anisotropy to a magnetic recording layer is provided between a substrate and the magnetic recording layer. This invention also presents a magnetic-recording-disk manufacturing method comprising a step to prepare an anisotropy-allowing layer to allow magnetic anisotropy to a magnetic recording layer, prior to a step to prepare the magnetic recording layer. This invention also presents a magnetic-recording-disk manufacturing system comprising an anisotropy-allowing-layer preparation chamber, in which an anisotropy-allowing layer to allow magnetic anisotropy to a magnetic recording layer is prepared on a substrate, prior to preparation of the magnetic recording layer. In this invention, the anisotropy-allowing layer is made of; nitride of niobium, tantalum, niobium alloy or tantalum alloy, or nitride-including niobium, tantalum, niobium alloy or tantalum alloy.
    Type: Grant
    Filed: April 25, 2005
    Date of Patent: April 14, 2009
    Assignee: Canon Anelva Corporation
    Inventors: Masahiro Shibamoto, Sinji Furukawa, Tetsuya Endoh, Miho Sakai, Naoki Watanabe
  • Patent number: 7513981
    Abstract: A load lock chamber (12) is connected in a front stage of a film forming chamber (11) through a damper and the like. A pipe to which a N2 gas and aeriform or fog-like H2O are supplied is connected to the load lock chamber (12). The pipe is led from a vaporizer (13). Inside the load lock chamber (12), a carrying section 15 on which a wafer (20) is placed is provided, whereas outside the load lock chamber (12), a cooler (14) cooling a carrying section (15) by means of liquid nitrogen is arranged. The temperature of the carrying section 15 is held at, for example, ?4Ā° C.
    Type: Grant
    Filed: March 25, 2005
    Date of Patent: April 7, 2009
    Assignee: Fujitsu Microelectronics Limited
    Inventors: Kazutaka Miura, Shozo Noda
  • Publication number: 20090078562
    Abstract: At least one substrate location sensor is provided on a piece of equipment containing two adjoined chambers between which substrates may be transferred one at a time. Deviation of substrate position from a predetermined optimal position is measured as a substrate is transferred between the two adjoined chambers. Measured data on the deviation of substrate position is entered into a statistical control program hosted in a computing means. The measured data indicates the level of performance of the robot and/or the condition of alignment of components in one of the two chambers. As the statistical control generates flags based on the measured data, maintenance activities may be performed. Thus, maintenance activities may be performed on a ā€œas-neededā€ basis, determined by the measurement data on performance of the equipment.
    Type: Application
    Filed: September 20, 2007
    Publication date: March 26, 2009
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Gary J. Johnson, Mark L. Reath, David C. Strippe
  • Patent number: 7491301
    Abstract: A method and apparatus for coating two sides of a single pane of glass or other substrate in a single pass through a coating apparatus. A sputtering line is provided, this line comprising a series of sputtering chambers. At least one of the chambers comprises a downward sputtering chamber having an upper target. At least one of the chambers comprises an upward sputtering chamber having a lower target. In some embodiments, the upper and lower targets are rotary targets. The coating apparatus advantageously has a plurality of transport rollers for conveying the substrate along the sputtering line. In certain embodiments, a majority of the chambers of the sputtering line are downward sputtering chambers each having only an upper target with no lower target.
    Type: Grant
    Filed: August 20, 2004
    Date of Patent: February 17, 2009
    Assignee: Cardinal CG Company
    Inventors: Annette J. Krisko, Bob Bond, Roger Stanek, Gary Pfaff, Klaus Hartig
  • Publication number: 20080210550
    Abstract: The invention provides a device for the vacuum coating of substrates which comprises a vacuum chamber, an apparatus for holding at least one substrate, at least one first coating region of the vacuum chamber with an apparatus for plasma pulse-induced chemical vapor deposition (PICVD) and at least one second coating region of the vacuum chamber with at least one apparatus for sputter coating, as well as a transport apparatus for transporting the substrate into the coating regions.
    Type: Application
    Filed: July 8, 2005
    Publication date: September 4, 2008
    Applicant: SCHOTT AG
    Inventors: Marten Walther, Tobias Kalber, Stefan Bauer, Hartmut Bauch, Jorn Gerban
  • Patent number: 7413639
    Abstract: The invention relates to an energy and media connection module for coating installations. Said module serves for supplying with cooling water, compressed air, process gases, signal, control and cathode power. It can be moved from one coating chamber to another coating chamber along a coating line by a single person in a short time. Further, it is possible to separate the energy connection module from a coating chamber for maintenance or displacement purposes without mechanically demounting all connections.
    Type: Grant
    Filed: May 26, 2004
    Date of Patent: August 19, 2008
    Assignee: Applied Materials GmbH & Co. KG
    Inventors: Guido Hattendorf, Gert Rƶdling, Gerhard Rist
  • Patent number: 7402228
    Abstract: There is disclosed a manufacturing method of a phase shift mask blank in which dispersions of phase angle and transmittance among blanks can be reduced as much as possible and yield is satisfactory. In the manufacturing method of the phase shift mask blank, a process of using a sputtering method to continuously form a thin film on a transparent substrate comprises: successively subjecting a plurality of substrates to a series of process of supplying the transparent substrate into a sputtering chamber, forming the thin film for forming a pattern in the sputtering chamber, and discharging the transparent substrate with the film formed thereon from the sputtering chamber; supplying and discharging the transparent substrate substantially at a constant interval; and setting a film formation time to be constant among a plurality of blanks.
    Type: Grant
    Filed: February 4, 2004
    Date of Patent: July 22, 2008
    Assignee: Hoya Corporation
    Inventors: Osamu Nozawa, Hideaki Mitsui
  • Patent number: 7381969
    Abstract: A control for pressurizing a load lock. The control initiates pressurization of the loadlock interior by coupling a source of gas to the loadlock interior. A representative load lock includes a pressure sensor and multiple valves to atmosphere where at least one such valves is a passthrough valve for removal of and insertion of workpieces from and into a load lock interior. A second fast acting valve also opens to atmosphere. A pressure rise inside the loadlock interior is monitored and when the pressure reaches a threshold pressure above atmosphere the fast acting valve is opened to atmosphere. This second fast acting valve is configured to relieve overpressure from the passthrough valve prior to opening of said passthrough valve. Workpiece movement is accomplished with the aid of a robot which reaches into the loadlock interior as it is either depositing workpieces or retrieving them.
    Type: Grant
    Filed: April 24, 2006
    Date of Patent: June 3, 2008
    Assignee: Axcelis Technologies, Inc.
    Inventors: Tariq Fasheh, James Carroll, Klaus Petry, Dale Stone, Lyudmila Stone, Dave Wiederspahn
  • Patent number: 7351292
    Abstract: An assembly for processing substrates, which processing comprises a vacuum deposition process, such as, for instance, sputtering, CVD or PECVD, which vacuum deposition process is carried out in at least one process chamber, the assembly being provided with a conveying device for moving the substrates from a vacuum lock to a process chamber, the conveying device, which extends in a vacuum space, permitting a continuous conveyance of a substrate adjacent the at least one process chamber and permitting an intermittent conveyance adjacent at least the at least one vacuum lock.
    Type: Grant
    Filed: May 21, 2003
    Date of Patent: April 1, 2008
    Assignee: OTB Group B.V.
    Inventors: Marinus F. J. Evers, Peter Briƫr, Leonardus P M Clijsen
  • Patent number: 7312958
    Abstract: A method for manufacturing a magnetic disk apparatus having a highly sensitive reproducing head. A spin-valve-type multilayer film composed of an antiferromagnetic layer, a ferromagnetic layer, a nonmagnetic layer and a free magnetic layer is used as a magnetoresistive-effect device for the reproducing head. An antiferromagnetic reaction layer is formed between the antiferromagnetic reaction layer and the ferromagnetic layer. The antiferromagnetic reaction layer is formed of a metallic compound containing oxygen.
    Type: Grant
    Filed: November 28, 2003
    Date of Patent: December 25, 2007
    Assignee: Matsushita Electric Industrial Co., Ltd
    Inventor: Akifumi Aono
  • Publication number: 20070292603
    Abstract: The embodiments fill the need to enhance electro-migration performance, provide lower metal resistivity, and improve metal-to-metal interfacial adhesion for copper interconnects by providing improved processes and systems that produce an improved metal-to-metal interface, more specifically barrier-to-copper interface. An exemplary method of preparing a substrate surface of a substrate to deposit a metallic barrier layer to line a copper interconnect structure of the substrate and to deposit a thin copper seed layer on a surface of the metallic barrier layer in an integrated system to improve electromigration performance of the copper interconnect is provided. The method includes cleaning an exposed surface of a underlying metal to remove surface metal oxide in the integrated system, wherein the underlying metal is part of a underlying interconnect electrically connected to the copper interconnect.
    Type: Application
    Filed: August 30, 2006
    Publication date: December 20, 2007
    Applicant: Lam Research Corporation
    Inventors: Yezdi Dordi, John Boyd, Tiruchirapalli Arunagiri, Hyungsuk Alexander Yoon, Fritz C. Redeker, William Thie, Arthur M. Howald
  • Patent number: 7300557
    Abstract: The invention relates to a device for the targeted application of deposition material onto a substrate, especially for focusing the sputter flux onto a narrow angular range in a PVD-system. The invention is characterized in that the deposition material is directed through a filter structure (90) having several channel-shaped individual structures (60) onto said substrate (30), whereby the streams of material are limited to a narrow angle range.
    Type: Grant
    Filed: March 18, 2003
    Date of Patent: November 27, 2007
    Assignee: Scheuten Glasgroep
    Inventors: Patrick Kaas, Volker Geyer
  • Patent number: 7299104
    Abstract: Shock waves occurring when opening a gate valve between two vacuum chambers and peeling of particles by a viscous force taking place when a gas is supplied into a vacuum chamber are necessary to be suppressed by the apparatus and method of the invention, whereby contamination of a substrate by particles is suppressed. If one vacuum chamber is a substrate processing chamber for performing a vacuum process on the substrate and the other chamber is a transfer chamber having a substrate transfer device therein, the gate valve is opened when inner pressures of both the vacuum chambers are less than 66.5 Pa and higher one thereof is less than twice a lower one thereof. Preferably, a purge gas for peeling of particles is supplied, before supplying the purge gas for pressure control, into the substrate processing chamber with a flow rate greater than that of the purge gas for pressure control.
    Type: Grant
    Filed: June 2, 2004
    Date of Patent: November 20, 2007
    Assignee: Tokyo Electron Limited
    Inventors: Kazuyuki Tezuka, Hiroshi Koizumi, Tsuyoshi Moriya, Hiroyuki Nakayama
  • Patent number: 7279201
    Abstract: This invention relates to a method of forming a precursor for chemical vapour deposition including the steps of: (a) forming metal ions at a source, (b) introducing the ions into a reaction chamber; and (c) exposing the ions to a gas or gasses within the chamber to react with the ions to form the precursor.
    Type: Grant
    Filed: April 4, 2002
    Date of Patent: October 9, 2007
    Assignee: Aviza Europe Limited
    Inventor: John Macneil
  • Patent number: 7273534
    Abstract: In an optical disk substrate film-formation apparatus which prepared an optical disk by forming a thin film on a substrate, the optical disk substrate is held by a holder section. A contact support surface is provided to the holder section which closely contacts at least a portion of the surface of the optical disk substrate rear to the surface where the think film is formed.
    Type: Grant
    Filed: July 22, 2004
    Date of Patent: September 25, 2007
    Assignee: Ricoh Company, Ltd.
    Inventors: Kazunori Ito, Katsunari Hanaoka, Hiroshi Deguchi, Nobuaki Onagi, Hiroko Tashiro, Kiyoto Shibata, Yasutomo Aman, Hiroshi Miura, Wataru Ohtani, Hajime Yuzurihara, Masaru Shinkai
  • Patent number: 7267725
    Abstract: A thin-film deposition apparatus includes a reaction chamber, a substrate transfer chamber, a susceptor having a radially-extending step portion, a ring-shaped separation wall for separating the reaction chamber and the substrate transfer chamber at a processing position where the susceptor is positioned inside the ring-shaped separation wall, and a conductive sealing member which is interposed between the radially-extending step portion and the separation wall to seal the reaction chamber from the substrate transfer chamber when the susceptor is at a processing position.
    Type: Grant
    Filed: May 7, 2004
    Date of Patent: September 11, 2007
    Assignee: ASM Japan K.K.
    Inventor: Baiei Kawano
  • Patent number: 7264741
    Abstract: A coater having a substrate cleaning device is disclosed. Also disclosed are methods of processing substrates in a coater equipped with a substrate cleaning device. The substrate cleaning device comprises an ion gun (i.e., an ion source) that is positioned beneath a path of substrate travel (e.g., beneath a substrate support) extending through the coater and that is adapted for treating a bottom major surface of a substrate. Certain embodiments involve an upward coating apparatus that is further along the path of substrate travel than the substrate cleaning device. In some embodiments of this nature, the upward coating apparatus is configured for depositing a photocatalytic coating upwardly onto the bottom major surface of the substrate. Certain embodiments of the invention involve a downward coating apparatus, wherein the substrate cleaning device is further along the path of substrate travel than the downward coating apparatus.
    Type: Grant
    Filed: December 31, 2003
    Date of Patent: September 4, 2007
    Assignee: Cardinal CG Company
    Inventor: Klaus Hartig
  • Patent number: 7258768
    Abstract: A plurality of processing chambers are connected to a common chamber (103 in FIG. 1), and they comprehend a processing chamber for oxidation (107), a processing chamber for solution application (108), a processing chamber for baking (109), and processing chambers for vapor-phase film formation (110, 111). Owing to a thin-film forming apparatus of such construction, it is permitted to fabricate an EL (electroluminescence) element employing a high-molecular EL material, without touching the open air. Thus, an EL display device of high reliability can be fabricated.
    Type: Grant
    Filed: August 2, 2004
    Date of Patent: August 21, 2007
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Shunpei Yamazaki
  • Publication number: 20070144889
    Abstract: A machine 1 for treating substrates S comprises an infeed area 6, at least a first process chamber 2, a second process chamber 3, a third process chamber 4, and a fourth process chamber 8 for the execution of a treatment, for example the application of a coating to a substrate S for coating, as well as an outfeed area 7. The four process chambers 2,3, 4 and 8 are connected to a central transport chamber 5. The first process chamber 2 fourth process chamber 8 are each arranged between one of the lock areas 6 or 7 and the central transport chamber 5 in series. The second process chamber 3 and the third process chamber 4 are connected in parallel and independently accessible from each other to the central transport chamber.
    Type: Application
    Filed: October 13, 2006
    Publication date: June 28, 2007
    Inventors: Erkan Koparal, Dieter Haas